SEMI 半导体产业网 Semiconductor and System Technology: A Future of Consolidation, Integration, and Discontinuities. Dr.

Size: px
Start display at page:

Download "SEMI 半导体产业网 Semiconductor and System Technology: A Future of Consolidation, Integration, and Discontinuities. Dr."

Transcription

1 Semiconductor and System Technology: A Future of Consolidation, Integration, and Discontinuities Dr. Gary Patton Vice President IBM Semiconductor Research & Development Center East Fishkill, New York

2 Accelerating Advances in Technology Moore s Law: doubling of chip integration every months 2

3 Exponential Technology Change If automobiles were like chips: miles per gallon of gasoline * mpg ,500 mpg ,000 mpg Million mpg * 15 MPG = 6 Km per Liter 3

4 Driving Force: Economics Smaller features Better performance & cost/function More applications Larger market 1962 $1B 1978 $10B 1994 $100B Goble Semiconductor Sales ($B) 4

5 Voltage, V / α The End of Traditional CMOS Scaling tox/α GATE WIRING W/α Why must we follow the recipe at left? We put 1,000,000 times as many devices on a modern chip versus 40 years ago This recipe describes how to reduce each device s power by a factor of 1,000,000 and keep total chip power CONSTANT. n+ source n+ drain L/α p substrate, doping α*na xd/α SCALING: Voltage: V/α Oxide: t ox /α Wire width: W/α Gate width: L/α Diffusion: x d /α Substrate: α N A R. H. Dennard et al., IEEE J. Solid State Circuits, (1974). RESULTS: Higher Density: ~α 2 Higher Speed: ~α Power/ckt: ~1/α 2 Power Density: ~Constant ATOMS DON T SCALE! 11Å Approaching atomistic and quantummechanical boundaries 5

6 The Power Problem; an Industry Dilemma Module Heat Flux (watts/cm 2 ) Junction Transistor Integrated Circuit Vacuum tube IBM 360 Water Cooling Bipolar Year of Announcement CPU 1 CPU 2 CMOS L2 Cache Multi-Core SoC / edram Low-Power Multicore Opportunity for 3D Si 3D Integration 6

7 Spending (US$B) $300 $250 $200 $150 Where Else Is Power An Issue? Power Usage in IT; Another Paradigm Shift Worldwide IT Spending Trend Power and cooling costs Server mgmt and admin costs New server spending Installed Base (M Units) Datacenter power demands are growing at unsustainable rates 1.2% of global electrical output is used by servers & cooling $100 $50 $ Source: IDC, Virtualization 2.0: The Next Phase in Customer Adoption, Doc #204904, Dec Post bubble, spending on datacenter power is growing % faster than spending on servers. In excess of 80% of Datacenter power is wasted 7

8 POWER-Net-Net Affordable/achievable datacenter Power and Cooling solutions are already the top challenges facing IT executives. Energy costs will spiral beyond Server Capex as the core issue facing CFO s at IT intensive Fortune 500 companies. Geo-political issues around energy supplies will only make this problem worse, and sooner than expected. 8

9 Where are we going? 9

10 The Discontinuity Then Scaling drove down cost Scaling drove performance Performance constrained Active power dominates Independent R&D Now Scaling drives down cost Materials Innovation drives performance Power constrained Standby power dominates Collaborative R&D 10

11 Breaking from the Past, Innovation Drives Performance Gain by Traditional Scaling Gain by Innovation Relative % Improvement 100% 80% 60% 40% 20% 0% 180nm 130nm 90nm 65nm 45nm 32nm 11

12 Materials Innovations Elements Employed in Silicon Technology Since the 90 s Beyond 2006 Before 90 s 12

13 One must Drive Performance Through Innovation Novel Materials, Structures, Processes, and Architecture Copper Nobel Prize, STM SOI Atomic Manipulation Strained Silicon Dual Core Highest Carbon Resolution Nanotube STEM Transistors Immersion Self Assembly Frozen SiGe Chip Slowing Speed of Light High-k Nanotube IC 3D Chip Stacking edram Molecular Processing Atomic Storage Airgap Nanophotonic Switch 13

14 The Lithography Challenge λ = 436nm Opportunities wavelength / NA (nm) λ = 365nm λ = 248nm λ = 193nm λ = 193nm immersion λ gap EUV: source? High NA materials? Imprint masks, overlay, breadth? ebeam throughput? Year Low K 1 imaging Computational Scaling! Density scaling has historically been driven by a 10%/year scaling of λ / NA. The next λ 13.5nm (EUV) will not be ready for the 22nm logic node. 14

15 Center for Semiconductor Research, Albany EUV Alpha Scanner 15

16 193 nm Optical Lithography Evolution: Lower k 1 Factors Design = Mask Mask + Correction + Assist 2 Masks + Correction + Assists Optimized Mask(s) + Optimized Source 90 nm 65 & 45 nm 32 & 28 nm 22 nm & Beyond Design (M1 SRAM) Mask Wafer / Contour Mask 23 Co-optimization Designs Get More Regular, Resolution Enhancement Techniques Get More Complex 16

17 Modeling at Unprecedented Levels of Sophistication is Required Illumination Source 45 nm cell: sub μm 2 Mask Optical Imaging Patterned Photoresist mask light just over photoresist light just under mask 1 st Wafer Prediction Design Results 32 nm cell: sub μm 2 22 nm cell: sub μm 2 Etching developed photoresist 17

18 The Value of Computational Scaling Time to Market Avoids need for next generation lithography by extending 193nm. Avoids churn in design rules via design-technology co-optimization. Early optimization of standard libraries. Cost Containment Minimizes the use of multiple exposure imaging processes. Fewer design re-spins due to less complex set of design rules. Access to Computational Scaling Technology Ecosystem of suppliers to support Computational Scaling. Commercially available tools from IBM and Computational Scaling supplierpartners. 18

19 Innovation at Back-End-Of-Line On-chip wiring is a critical limiter to chip advancement Reducing dielectric k to: Increase on-chip signal speed Reduce power consumption Airgap allows ~35% capacitance reduction, to keff ~ /22 nm 32 nm 45 nm 65+ nm Air gap k < 2.0 Porous ultra-low k k = Porous low k k = Low k k = x Wire RC Delay (ps/mm 2 ) X wire RC delay (a.u.) nm 45nm 32nm 22nm Industry RC IBM RC IBM RC w/gaps 19

20 First Successful Demonstration of an Active Chip with Airgap Wiring Mazes (composite) 35% wiring capacitance reduction to k eff ~2.0 Performance improvement and power reduction First implementation of self-assembly nanotechnology for sub-lithographic patterning in semiconductor fab 8x 8x 4x This airgap CPU prototype module currently runs in an IBM server Self assembly material, treated like a typical photoresist 4x 2x 2x 1x 1x 1x 1x Airgap of all Cu wiring levels 20

21 Multilevel Airgap Wiring Self Assembly from Lab to Fab 21

22 High-k k / Metal Gate - A Key Enabler for Scaling Gate scaling extendable to 22nm Achieve higher device performance / lower power 4 nm Device TEM Metal Gate High-K Silicon >100x gate leakage reduction with HKMG High-k / Metal Gate: AC Performance Gate Leakage Curent (A/cm 2 ) 10 7 Measured at 1.2 V 10 5 HKMG 32nm Poly/SiON HKMG 22nm nm 32nm Inversion Thickness (Å) 22

23 22 nm & Beyond: Leadership Innovation FINFET ETSOI HfO 2 Si NW deposited Si Si Nano-Wire C Electronics 15 nm 11 nm 8 nm 5 nm 3 nm 23

24 IBM alliance extends Moore s law to 22nm The world smallest SRAM cell with area of <0.1um 2 2 nd Generation High-k/Metal Gate enables less than 25nm gate length 22nm node ground rule with 90nm gate pitch Conventional high-na immersion lithography for patterning 25nm Gate 90nm Gate Pitch Gate to contact <20nm Thin NiSi 45nm SOI Contact ~26 nm 24

25 The Evolution of CNT-FETs 1998 Source Nanotube SiO 2 Drain The first CNTFET 2001 Fully-integrated complementary circuit 2002 The first self-aligned and doped CNTFET 2002 The first logic gate Schottky barriers The first top-gated CNTFET P. Avouris et al., (IBM). 25

26 Electrical BW Bottlenecks Optics Opportunities Electrical Buses become increasingly difficult at high data rates (physics): Increasing losses & cross-talk ; Frequency resonant affects Optical data transmission: Power Efficiency, much less lossy, not plagued by resonant effects BW (GB/s) Off-card Bandwidth, GB/s Optical Backplane: 10 GB/s, 62.5μm pitch Estimated Limit of MCM Electrical Escape BW Limit of Electrical Backplane BW Core 2 Core 2 Core 2 Core 2 Core 4 Core 8 Core 16 Core System or Time 32 Core Rack Backplane Module Card μp OPTICS μp MULTI CHIP MODULE CIRCUIT BOARD 26

27 Exploiting 3D Integration, Memory and Optics 3D Integration (w/ PCM, optics, heterogeneity) allows restructuring the compute node, to leverage memory (50-70% system costs) to dramatically increase bandwidth and capacity, resulting in significant performance improvement, with necessary software coevolution/adaptation Optics: Multi-cores require huge bandwidth, but the cost of optics is dropping rapidly, allowing Optics to move inside the system: rack to card to chip CMOS integrated silicon photonics can provide large power savings for on-chip interconnects Off-chip optical signals Optical I/O On-chip optical traffic Photonic Plane Memory Plane Logic Plane Logic plane Memory plane Photonic plane ~300 of cores ~30GB edram On-Chip Optical Network >1Tbps optical on-chip >1Tbps optical off-chip 27

28 3D- Integration Technologies IBM Research 28

29 Holistic Design: A New Paradigm in Value Creation Innovation from Atoms to Software The simultaneous optimization of: Materials, Devices, Circuits, Cores, Chips, System Architecture, System Assets and System Software Provides the most effective means to optimize the value of IT offerings to the end user Note: Execution relies upon the seamless integration of skills from across the spectrum 29

30 BlueGene/L Holistic Design in Practice Cabinet (32 Node boards, 8x8x16) System (64 cabinets, 64x32x32) Node Board (32 chips, 4x4x2) 16 Compute Cards >131,000 Processors Chip (2 processors) 2.8/5.6 GFLOPS 4 MB Compute Card (2 chips, 2x1x1) 11.2 GFLOPS 0.5 GB DDR 180 GFLOPS 8 GB DDR 5.7 TFLOPS 256 GB DDR 360 TFLOPS 16 TB DDR Using the industry-standard LINPACK benchmark, the IBM Blue Gene/L system attained a sustained performance of Teraflops, eclipsing the three year old top mark of Teraflops for the Japanese Earth Simulator and the recent mark of 42.7 Teraflops at the NASA's Ames research center. The BlueGene/L system is 1/100th the physical size (320 vs 32,500 square feet) and consumes 1/28th the power (216KW vs 6,000KW) as compared to the Earth Simulator. 30

31 Innovation: The Business Aspect

32 Is There a Sustainable Business Model? The industry trend as of today: R&D Expenses far outpace revenues ~$2.3B Projected CAGR Revenues ~ 6.5% 1,800 Estimated cost to develop the 22-nm CMOS logic process R&D ~ 12.2% 1,600 R&D Expenses 12.2% CAGR This is NOT sustainable, and will/must NOT happen. Revenue Growth 6.5% Costs ($M) 1,400 1,200 1, Process development $1.1B Process ramp-up extrapolated Fiscal reality is driving industry towards consolidation around Innovation Networks nm 130nm 90nm 65nm 45nm 32nm 22nm Source: IBS Global System IC Service Management Report, April

33 Globalization of Semiconductor R&D is Already a Pervasive Strategy Intel AMD Freescale IMEC Infineon Sony Phillips IBM Albany STM Toppan Toshiba SELETE, ASET NEC SEMATECH TSMC Chartered IBM & alliances Major Consortia-IMEC&SEMATECH There is a global recognition of challenges facing semiconductor industry, having already driven a its dramatic consolidation => Pre-competitive cooperation 33

34 Strategic Development Alliances: CAPEX Landscape $35 $30 $25 $20 $15 $10 $5 $ Semiconductor CAPEX (non-dram), $B $33.22 $19.08 $12.65 $8.06 $1.63 $4.83 $4.70 IBM Technology Alliances IBM Chartered Samsung Infineon AMD Sony Toshiba Freescale ST Micro Intel ASPLA TSMC Crolles Alliance Notes: Fujitsu NEC Matsushita Electric Renesas NXP UMC Freescale and STMicro have announced they are partnering with IBM; NXP has announced they will leave Crolles alliance at the end of 2007 essentially dissolving the Crolles alliance TI has announced they will cease investing in digital process technology development and will rely on their Foundry partners for process technology. Source: IBM MI, IC Insights August 2007 TI 34

35 Technology Collaboration Innovation Flow Bringing Research to the Market Fundamental Research Advanced Semiconductor R&D Technology Development Worldwide Manufacturing Screen new materials & processes Innovation in integrated device & process technology Multi-company co-located joint development Process synchronized fabricators (GDSII compatible) Patterning solutions High-k / metal gate Device structures Stress techniques Interconnects Ultra low-k Packaging IBM Almaden & Yorktown Equipment Applied Mat ls, ASML, Tokyo Electron Research IBM, AMD, ST, Toshiba, NEC Albany Nanotech Center Foundry bulk IBM, Chartered, Infineon, Samsung, AMD, ST, Toshiba, NEC High perf SOI IBM, AMD, Freescale IBM East Fishkill 35 Foundry bulk IBM, Chartered & Samsung High perf SOI IBM & Chartered USA, Singapore, Korea

36 Albany NanoTech Panoramic and aerial views of the College of Nanoscale Science and Engineering (CNSE) facilities, which houses the Center for Semiconductor Research (CSR) Line where IBM is performing 22nm advanced semiconductor research and development NanoFab South Annex (NFX) NanoFab North (NFN) NanoFab East (NFE) NanoFab South (NFS) 36

37 Common Platform Technology Ecosystem Packaging Design Compatibility GDSII Compatibility Design Center Collaborators Reference Design Flows Libraries and IP Technology Design Kits Process and Manufacturing Compatibility Design Manual SPICE Models 90 nm, 65 nm, 45 nm, 32nm Process Platforms 37

38 Summary Innovation & collaboration key to solve technology challenges of future nodes New device structures, advance dielectrics, and computational scaling Long-term investment in fundamental research Access to state of the art 300mm facilities for both research & development Collaboration brings together skills in technology R&D, design, and manufacturing needed to solve these challenges. Technology-Design co-optimization needed to achieve product objectives at 32nm and beyond Early engagement with product design teams on IP development 38

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

China and Global Semiconductor Industry Update and Outlook

China and Global Semiconductor Industry Update and Outlook China and Global Semiconductor Industry Update and Outlook Randy Bane Managing Director Corporate Marketing Applied Materials CSIS/US-Taiwan Business Council Conference May 27, 2004 Top 15 Worldwide Semiconductor

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

HPC Technology Trends

HPC Technology Trends HPC Technology Trends High Performance Embedded Computing Conference September 18, 2007 David S Scott, Ph.D. Petascale Product Line Architect Digital Enterprise Group Risk Factors Today s s presentations

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing Day 2017 occurs during Intel s Quiet Period,

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

2010 UBS Global Technology and Services Conference

2010 UBS Global Technology and Services Conference 2010 UBS Global Technology and Services Conference Eric Meurice CEO New York, New York June 8, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Craig DeYoung VP Investor Relations Taipei, Taiwan March 15, / Slide 1 Public Safe Harbor "Safe Harbor" Statement under the US Private

More information

RESEARCH BULLETIN MARCH 27, 2013

RESEARCH BULLETIN MARCH 27, 2013 RESEARCH BULLETIN MARCH 27, 2013 Pure-Play Foundries and Fabless Suppliers are Star Performers in Top 25 conductor Supplier ing Qualcomm and GlobalFoundries registered better than 30% growth last year.

More information

Role of Semiconductor Fab in Electronic Systems Design & Manufacturing

Role of Semiconductor Fab in Electronic Systems Design & Manufacturing ISA CXO Conclave Nov 5, 2012 New Delhi Nov 7, 2012 Bangalore Role of Semiconductor Fab in Electronic Systems Design & Manufacturing Dr. Gary Patton Vice President, IBM Semiconductor Research & Development

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Trends and Challenges for Data Centers inemi Roadmap

Trends and Challenges for Data Centers inemi Roadmap Trends and Challenges for Data Centers inemi Roadmap Trends and Challenges Context what's happening in the market and in the industry Changes new technology developments Challenges what is needed for the

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

5.2 Technology Leadership

5.2 Technology Leadership 5.1.4 Production in 2009 and 2008 Unit: Capacity / Output (8-inch equivalent wafers) / Amount (NT$ thousands) Wafers Year Capacity Output Amount 2009 9,954,558 7,582,664 150,572,709 2008 9,376,612 8,350,692

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Joint Research with imec Belgium Targeting Measurement for 10-nm Generation

Joint Research with imec Belgium Targeting Measurement for 10-nm Generation 173 Corporations from around the world collaborate at imec on basic research into microelectronics and nanotechnology. Special Report Joint Research with imec Belgium Targeting Measurement for 10-nm Generation

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Thomas Seifert Senior Executive Vice President and General Manager, Memory Products Group

Thomas Seifert Senior Executive Vice President and General Manager, Memory Products Group 2005 Infineon 2005-03-17-1 - March 17th, 2005 Paris Memory Products Group Senior Executive Vice President and General Manager, Memory Products Group Disclaimer Please note that while you are reviewing

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

Air Products Research Alliance

Air Products Research Alliance Air Products Research Alliance with University of California, Santa Barbara + =? Carrington Smith Venture Development Manager October 17, 2006 2 Who is Air Products? Fast Facts 3 Global $8BN gases, chemicals,

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND R. Fontana, G. Decad IBM Systems May 15, 2018 A Ten Year (2008-2017) Storage Landscape LTO Tape Media,, 10 Year Storage Landscape 1 A Ten Year (2008-2017) Storage Landscape: LTO Tape Media,, Topics Data

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO B. Riley & Co. 16 th Annual Investor Conference Jeffrey Andreson, CFO May 2015 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

AMD Opteron Processors In the Cloud

AMD Opteron Processors In the Cloud AMD Opteron Processors In the Cloud Pat Patla Vice President Product Marketing AMD DID YOU KNOW? By 2020, every byte of data will pass through the cloud *Source IDC 2 AMD Opteron In The Cloud October,

More information

Fundamentals of Computer Design

Fundamentals of Computer Design CS359: Computer Architecture Fundamentals of Computer Design Yanyan Shen Department of Computer Science and Engineering 1 Defining Computer Architecture Agenda Introduction Classes of Computers 1.3 Defining

More information

Leveraging China's Memory Market Opportunity

Leveraging China's Memory Market Opportunity Research Brief Leveraging China's Memory Market Opportunity Abstract: The Chinese memory market offers growth opportunities for local and global semiconductor companies alike. Investments in Chinese manufacturing

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş Evolution of Computers & Microprocessors Dr. Cahit Karakuş Evolution of Computers First generation (1939-1954) - vacuum tube IBM 650, 1954 Evolution of Computers Second generation (1954-1959) - transistor

More information

ENIAC - background. ENIAC - details. Structure of von Nuemann machine. von Neumann/Turing Computer Architecture

ENIAC - background. ENIAC - details. Structure of von Nuemann machine. von Neumann/Turing Computer Architecture 168 420 Computer Architecture Chapter 2 Computer Evolution and Performance ENIAC - background Electronic Numerical Integrator And Computer Eckert and Mauchly University of Pennsylvania Trajectory tables

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

System Scaling Opportunities for Future IT Systems

System Scaling Opportunities for Future IT Systems System Scaling Opportunities for Future IT Systems Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/24/2014 Data growth will drive the new IT model Dimensions of data growth Terabytes to exabytes

More information

Trends in R&D Investments Global ICT Companies 2007 to 2011

Trends in R&D Investments Global ICT Companies 2007 to 2011 Trends in R&D Investments Global ICT Companies 2007 to 2011 Alain Stekke DG INFSO Economic and Statistical Unit Georg Kelm DG INFSO Nanoelectronics Unit R&D Data 2010 Digital Competitiveness Report Eurostat

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

SOI Technology: IBM s Next Advance In Chip Design

SOI Technology: IBM s Next Advance In Chip Design SOI Technology: IBM s Next Advance In Chip Design I- Introduction As with IBM s leadership manufacturing microchips using copper interconnect technology, the company has now announced what it believes

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS

OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS OVERALL TECHNOLOGY ROADMAP CHARACTERISTICS TABLES CONTENTS Table 1a Product Generations and Chip Size Model Technology Nodes Near-term Years... 2 Table 1b Product Generations and Chip Size Model Technology

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

Technology Trends Presentation For Power Symposium

Technology Trends Presentation For Power Symposium Technology Trends Presentation For Power Symposium 2006 8-23-06 Darryl Solie, Distinguished Engineer, Chief System Architect IBM Systems & Technology Group From Ingenuity to Impact Copyright IBM Corporation

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Lockheed Martin Nanosystems

Lockheed Martin Nanosystems Lockheed Martin Nanosystems National Nanotechnology Initiative at Ten: Nanotechnology Innovation Summit December 2010 Dr. Brent M. Segal Director & Chief Technologist, LM Nanosystems brent.m.segal@lmco.com

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Aim High Intel Technical Update Teratec 07 Symposium June 20, 2007 Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Risk Factors Today s s presentations contain forward-looking statements.

More information

EE382 Processor Design. Class Objectives

EE382 Processor Design. Class Objectives EE382 Processor Design Stanford University Winter Quarter 1998-1999 Instructor: Michael Flynn Teaching Assistant: Steve Chou Administrative Assistant: Susan Gere Lecture 1 - Introduction Slide 1 Class

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information