Lecture 2: MC68000 interrupt in C language

Size: px
Start display at page:

Download "Lecture 2: MC68000 interrupt in C language"

Transcription

1 Lecture 2: MC68000 iterrupt i C lauae Problem defiitio Vectored iterrupts The vector table Itroductio to the PI/T Basic PI/T operatio C lauae solutio Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

2 Problem Setup the PI/T to read data from Port A ad copy to Port B every 5 secods Port A should be prorammed for o-latched iput Port B should be prorammed for sile-buffered output Timer should be setup to iterrupt the MC68000 every 5 secods MC68000 PI/T Read Write Port A Port B Sesor data Motor commad Timer Iterrupt (5 s.) Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

3 Vectored iterrupts Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

4 Vectored iterrupts The provides two iterrupt schemes Vectored: iteded for moder 16-bit peripherals Auto-vectored: iteded for older 8-bit peripherals There are seve levels of iterrupts available The sequece of operatios duri a vectored iterrupt request is the followi A peripheral requires attetio by asserti its iterrupt request output (IRQ*) The priority ecoder produces a 3-bit code with the hihest IRQ* lie active ad passes it to the o the IPL0*-IPL3* iputs The compares the level of the iterrupt with the iterrupt mask fla (I 2 I 1 I 0 ) i the SR. If the requested iput is reater tha (I 2 I 1 I 0 ), the iterrupt is serviced, otherwise it is iored If the decides to service the iterrupt: The code 111 is placed o (FC 2 FC 1 FC 0 ) to iform the system that a iterrupt is about to be serviced The priority of the iterrupt is placed o (A 3 A 2 A 1 ) (FC 2 FC 1 FC 0 ) ad (A 3 A 2 A 1 ) are passed to a iterrupt ackowlede decoder which asserts oe of the seve IACK* lies The asserted IACK* lie iforms the iterrupti device that it is about to be serviced The peripheral whose iterrupt level matches the asserted IACK* will kow that it is oi to be serviced The peripheral the writes the IVEC vector oto the data bus (D 7 D 0 ) ad asserts the DTACK* lie (DTACK stads for Data Trasfer Ackowlede) the active DTACK* termiates the IACK cycle ad the will execute the iterrupt hadler poited by the vector fetched from (D 7 D 0 ) Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

5 The vector table Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

6 Itroductio to the The PI/T (Parallel Iterface/Timer) is a eeral-purpose peripheral Its primary fuctio is a parallel iterface Its secodary fuctio is a prorammable timer The PARALLEL INTERFACE provides 4 modes with various hadshaki ad bufferi capabilities Uidirectioal 8-bit Uidirectioal 16-bit Bidirectioal 8-bit Bidirectioal 16-bit The PROGRAMMABLE TIMER provides a variety of OS services Periodic iterrupt eeratio Square wave eeratio Iterrupt after timeout Elapsed time measuremet System watchdo Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

7 PI/T simplified iterface with the MC68000 Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

8 PI/T simplified iterface with the MC68000 A address decoder places the PI/T at a ive locatio withi the address space of the processor O the SBC68K, the PI/T base address is $FE8000 The is prorammed ad used by readi ad writi data to the correct memory-mapped locatios (reisters) The cotais 23 iteral reisters, which are are selected by the state of 5 reister-select iputs (RS 1 -RS 5 ) coected to the address bus (A 1 -A 5 ) Notice that ALL the reisters are located at ODD memory locatios Oly 9 of the 23 reisters are used for the prorammable timer fuctio Data to the iteral reisters is trasferred throuh the data bus (D 0 -D 7 ) There are three iteral ports Port A ad Port B are used for parallel iterface Port C is shared by timer ad parallel iterface Hadshaki is accomplished throuh lies H 1 -H 4 Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

9 PI/T timer reisters Timer Cotrol Reister (TCR) Determies the operatio modes of the timer Timer Iterrupt Vector Reister (TIVR) Stores the iterrupt vector umber Couter Preload Reister (CPR) A 24-bit couter with the desired (by the prorammer) umber of couts measured i ticks Couter Reister (CNTR) A 24-bit couter dow-couter that is automatically decremeted with every tick Timer Status Reister (TSR) Determies the status of the timer Oly Bit #0 (Zero Detect Status or ZDS) is used I order to clear the ZDS bit after a zero-detect YOU MUST WRITE A 1 to it (YES, the ZDS bit is cleared by writi a ONE to it) Reister ad Memoic Acc. Offset Timer Cotrol Reister TCR R/W $21 Timer Iterrupt Vector Reister TIVR R/W $23 Couter Preload Reister Hih CPRH R/W $27 Couter Preload Reister Middle CPRM R/W $29 Couter Preload Reister Low CPRL R/W $31 Couter Reister Hih CNTRH R $2F Couter Reister Middle CNTRM R $31 Couter Reister Low CNTRL R $33 Timer Status Reister TSR R/W $35 Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

10 Timer Cotrol Reister Timer Eable (TCR0) Turs the timer ON ad OFF. The timer is disabled whe the bit is cleared; it is eabled whe set To start the timer, place a 1 i TCR0 To stop the timer, place a 0 i TCR0 Clock Cotrol (TCR1-2) The PI/T timer permits differet clock pulse operatios. Whe the field is 00, every 32 CPU clock cycles become 1 timer tick. Couter Load (TCR4) After completi its coutdow, the tick couter is either reset from the Couter Preload Reister (CPR) or it rolls over to $FFFFFF Writi a 0 o TCR4 causes a reload from the CPR Writi a 1 o TCR4 causes a roll-over to $FFFFFF. Actio o Zero Detect (TCR5-7) The timer ca select from a series of actios whe the tick couter reaches 0. Mode TCR7 TCR6 TCR5 TCR4 TCR3 TCR2 TCR1 TCR0 1 1 X 1 0 X 00 or 1X X 0 X 00 or 1X X 1 1 X 00 or 1X X 1 X X 1 X 0 X X 1 1 X T OUT /TIACK* cotrol Mode 1: Real-time clock Mode 2: Square wave eerator Mode 3: Iterrupt after timeout ZD cotrol Not Clock cotrol used Mode 4: Elapsed time measuremet Mode 5: Pulse couter Mode 6: Period measuremet Timer eable Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

11 Clock cotrol (TCR2-TCR1) The couter ca be decremeted from three differet sials T IN, the exteral clock iput The output of a 5-bit prescaler drive by CLK ad eabled by T IN CLK, the system clock (prescaled) The 5-bit prescaler allows us to divide the couter frequecy by 32 The SBC68K clock rus at 8MHz ( secods per cout), so 1 secod will require 250,000 CLK ticks (mode 00) TCR 2 TCR 1 Clock Cotrol Example 0 0 PC 2 /T IN is a port C fuctio. The couter clock is prescaled by 32, thus the couter clock is CLK/32. The timer eable bit determies whether the timer is i the ru or halt state. CLK Prescaler PC 2 /T IN is a timer iput. The prescaler is decremeted o the falli ede CLK Prescaler of CLK ad the couter is decremeted whe the prescaler rolls over 0 1 from $00 to $1F (31 10 ) Timer is i the ru state whe BOTH timer eable bit ad TIN are asserted. TIN PC 2 /T IN is a timer iput ad is prescaled by 32. The prescaler is decremeted followi the risi trasitio of TIN after bei 1 0 sychroized with the iteral clock. The 24-bit couter is decremeted TIN Prescaler whe the prescaler rolls over from $00 to $1F. The timer eable bit determies whether the timer is i the ru or halt state. PC 2 /T IN is a timer iput ad prescali is ot used. The 24-bit couter is 1 1 decremeted followi the risi ede of the sial at the T IN pi after TIN bei sychroized with the iteral clock. The timer eable bit determies whether the timer is i the ru or halt state. Couter Couter Couter Couter Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

12 T OUT /TIACK* cotrol (TCR7-TCR5) Bits 7-5 of the Timer Cotrol Reister cotrol the way the PI/T timer behaves o a zerodetect (ZDS=1) Whether iterrupts are supported (vectored, auto-vectored or oe) How does the PC3/T OUT output pi behave How is the PC7/TIACK* iput pi iterpreted Timer respose TCR 7 TCR 6 TCR 5 (simplified) 0 0 X Use timer pis for the operatio of I/O port C Tole a square wave 0 1 X with each expiratio of the timer No vectored iterrupt eerated o a cout of 0 Geerate a vectored iterrupt o a cout of 0 No autovectored iterrupt eerated o a cout of 0 Geerate a autovectored iterrupt o a cout of 0 Timer respose (detailed) PC3/T OUT ad PC7/TIACK* are port C fuctios PC3/T OUT is a timer fuctio. I the ru state T OUT provides a square wave which is toled o each zero-detect. The T OUT pi is hih i the halt state. PC7/TIACK* is a port C fuctio. PC3/T OUT is a timer fuctio. I the ru or halt state T OUT is used as a timer request output. Timer iterrupt is disabled, the pi is always three-stated. PC7/TIACK* is a port C fuctio. Sice iterrupt requests are eated, PI/T produces o respose to a asserted TIACK*. PC3/T OUT is a timer fuctio ad is used as a timer iterrupt request output. The timer iterrupt is eabled ad T OUT is low (IRQ* asserted) wheever the ZDS bit is set. PC7/TIACK* is used to detect the IACK cycle. This combiatio operates i the vectored-iterrupt mode. PC3/T OUT is a timer fuctio. I the ru or halt state it is used as a timer iterrupt request output. The timer iterrupt is disabled ad the pi always three-stated. PC7/TIACK* is a port C fuctio. PC3/T OUT is a timer fuctio ad is used as a timer iterrupt request output. The timer iterrupt is eabled ad T OUT is low wheever the ZDS bit is set. PC7/TIACK* is a port C fuctio. This combiatio operates i a autovectored iterrupt mode. Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

13 Parallel I/O eeral descriptio The parallel fuctio has three ports Two idepedet 8-bit ports ( ad B) A third dual-fuctio port C Ports A ad B be ca be used as I/O ports with various hadshaki ad bufferi capabilities i four differet modes Mode 0: Uidirectioal 8-bit Mode 1: Uidirectioal 16-bit Mode 2: Bidirectioal 8-bit Mode 3: Bidirectioal 16-bit Port C ca be used as a simple 8-bit port without hadshaki or double-bufferi a iterrupt iterface to the timer a iterrupt iterface to parallel I/O a support iterface for DMA operatio Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

14 Brief overview of parallel I/O reisters Port Geeral Cotrol Reister (PGCR) Selectio of I/O modes (0, 1, 2 ad 3) ad hadshaki sials (H1, H2, H3 ad H4) Port Service Request Reister (PSSR) Selectio of Port C fuctios: DMA requests, IRQ/IACK sials ad hadshaki sial priority Port {A,B,C} Data Directio Reister (PxDDR) Selectio of idividual port bits as iputs or outputs Port Iterrupt Vector Reister (PIVR) Storae of vector umber for vectored iterrupts Port {A,B} Cotrol Reister (PxCR) Selectio of port sub-modes ad hadshake sials operatio Port {A,B,C} Data Reister (PxDR) Cotets of the I/O ports Port {A,B} Alterate Data Reister (PxADR) Istataeous loic levels of the I/O pis of the port Port Status Reister (PSR) Status iformatio of the hadshake sials Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

15 The PI/T s modes of operatio Mode 0 (1D/8bit) Mode 1 (1D/16bit) Mode 2 (2D/8bit) Mode 3 (2D/16bit) Sub-mode 00 MC68230 A(B) [8] H1(H3) H2(H4) Sub-mode X0 MC68230 H1 H2 A+B [16] H3 H4 MC68230 A [8] B [8] H1 H2 H3 H4 Output Xfers Iput Xfers MC68230 A+B [16] H1 H2 H3 H4 Output Xfers Iput Xfers Sub-mode 01 MC68230 A(B) [8] H1(H3) H2(H4) Sub-mode X1 MC68230 H1 H2 A+B [16] H3 H4 LEGEND Uidirectioal Double-buffered Sub-mode 1X MC68230 A(B) [8] H1(H3) H2(H4) NPd* Bidirectioal Sile-buffered No-latched *NPd: This is the ONLY mode that is Not Pi-defiable Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

16 Port Geeral Cotrol Reister PGCR7-PGCR6 Select the operati mode of the PI/T PGCR5-PGCR4 Eables the hadshake pairs H3-H4 ad H1-H2. These bits have to be set before we ca make use of the cotrol iputs ad outputs. Doi this avoids spurious operatio of the hadshake lies before the PI/T has bee fully cofiured PGCR3-PCGR0 Determie the sese of the four hadshake lies. These cotrol lies ca be prorammed to be active-low or active-hih Bit PGCR7 PGCR6 PGCR5 PGCR4 PGCR3 PGCR2 PGCR1 PGCR0 H4 H3 H2 H1 Fuctio Port mode cotrol H34 eable H12 eable sese Sese Sese Sese PGCR7 PGCR6 Port mode cotrol 0 0 Mode Mode Mode Mode 3 PGCR5 H3, H4 cotrol 0 H34 disable 1 H34 eable PGCR4 H1, H2 cotrol 0 H12 disable 1 H12 eable Sese PGCR3-0 (Assertio Level) 0 LOW 1 HIGH Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

17 Port Data Directio Reisters Port Data Directio Reisters: PADDR,PBDDR ad PCDDR Select the directio ad bufferi characteristics of each of the appropriate port pis A loical ONE makes the correspodi pi act as a OUTPUT A loical ZERO makes the correspodi pi act as a INPUT Port C behaves i the same fashio ad determies whether each dual-fuctio chose for port C operatio is a iput or a output Bit PADDR7 PADDR6 PADDR5 PADDR4 PADDR3 PADDR2 PADDR1 PADDR0 Fuctio Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Bit PBDDR7 PBDDR6 PBDDR5 PBDDR4 PBDDR3 PBDDR2 PBDDR1 PBDDR0 Fuctio Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Bit PCDDR7 PCDDR6 PCDDR5 PCDDR4 PCDDR3 PCDDR2 PCDDR1 PCDDR0 Fuctio Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

18 Port Service Request Reister PSSR6-PSSR5 (service request) Determies whether the PI/T eerates a iterrupt or a DMA request PSSR4-PSSR3 (operatio select) Determies whether two of the dual-fuctio pis belo to port C or perform specialpurpose fuctios PSSR2-PSSR0 (iterrupt-priority cotrol) Bit PSRR7 PSRR6 PSRR5 PSRR4 PSRR3 PSRR2 PSRR1 PSRR0 SRVRQ Fuctio Iterrupt cotrol Port iterrupt priority (DMA cotrol) PSRR6 PSRR5 Iterrupt pi fuctio 0 PC4/DMAREQ* = PC4 DMA ot used 1 0 PC4/DMAREQ* = DMAREQ* Associated with double-buffered trasfers cotrolled by H1 H1 does ot cause iterrups i this mode 1 1 PC4/DMAREQ* = DMAREQ* Associated with double-buffered trasfers cotrolled by H3 H3 does ot cause iterrups i this mode PSRR4 PSRR3 Iterrupt pi fuctio 0 0 PC5/PIRQ* = PC5 No iterrupt support PC6/PIACK* = PC6 No iterrupt support 0 1 PC5/PIRQ* = PIRQ* Autovectored iterrupt supported PC6/PIACK* = PC6 Autovectored iterrupt supported 1 0 PC5/PIRQ* = PC5 PC6/PIACK* = PIACK* 1 1 PC5/PIRQ* = PIRQ* Vectored iterrupt supported PC6/PIACK* = PIACK* Vectored iterrupt supported PSRR2 PSRR1 PSRR0 Order of priority iterrupt Hiuest filowest H1S H2S H3S H4S H2S H1S H3S H4S H1S H2S H4S H3S H2S H1S H4S H3S H3S H4S H1S H2S H3S H4S H2S H1S H4S H3S H1S H2S H4S H3S H2S H1S Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

19 Mode 0, sub-mode 00 Data flow Double-buffered iput or Sile-buffered output Applicatios Normally used to receive data from devices such as A/D coverters Hadshaki Data is latched ito the iput reister by the asserted ede of H1 H2 behaves accordi to its prorammi fuctio defied below Port B behaves idetically (usi H3 ad H4) MC68230 A(B) [8] H1(H3) H2(H4) Bit PACR7 PACR6 PACR5 PACR4 PACR3 PACR2 PACR1 PACR0 0 0 H2 Cotrol H2 It. H1 Cotrol fi Sub-mode 00 PACR5 PACR4 PACR3 H2 Cotrol H2S 0 X X Iput pi: Ede-sesitive iput Set o asserted ede Output pi: eated Always clear Output pi: asserted Always clear Output pi: iterlocked iput hadshake Always clear Output pi: pulsed iput hadshake Always clear PACR2 H2 iterrupt 0 H2 iterrupt disabled 1 H2 iterrupt eabled PACR1 PACR0 H1 Cotrol 0 X H1 iterrupt ad DMA request disabled 1 X H1 iterrupt ad DMA request eabled X X H1S status set aytime data is available i the double-buffered iput path Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

20 Mode 0, sub-mode 01 Data flow Double-buffered output or No-latched iput Applicatios Normally used to sed data to devices such as D/A coverters or priters Tables are almost idetical to 0/00 except for PACR0 If PACR0=0, H1S is set whe port A is half-empty If PACR0=1, H1S is set whe port A is full-empty Port B cotrol is idetical (usi H3 ad H4, of course) MC68230 A(B) [8] H1(H3) H2(H4) Bit PACR7 PACR6 PACR5 PACR4 PACR3 PACR2 PACR1 PACR0 0 1 H2 Cotrol H2 It. H1 Cotrol fi Sub-mode 01 PACR5 PACR4 PACR3 H2 Cotrol H2S 0 X X Iput pi: Ede-sesitive iput Set o asserted ede Output pi: eated Always clear Output pi: asserted Always clear Output pi: iterlocked iput hadshake Always clear Output pi: pulsed iput hadshake Always clear PACR2 H2 iterrupt 0 H2 iterrupt disabled 1 H2 iterrupt eabled PACR1 PACR0 H1 Cotrol 0 X H1 iterrupt ad DMA request disabled 1 X H1 iterrupt ad DMA request eabled X 0 H1S status set if either iitial or fial output latches ca accept data ad cleared otherwise X 1 H1S status set if both iitial ad fial output latches are empty ad cleared otherwise Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

21 Solutio /* Timer Reister Addresses */ #defie tmr ((usied char*) 0xFE8021) /* Timer Base Address */ #defie tcr (( usied char*) tmr) /* Timer Cotrol Re */ #defie tivr (( usied char*) tmr+2) /* Timer Iterrupt Vector Re */ #defie cprh (( usied char*) tmr+6) /* Preload Hi Re */ #defie cprm (( usied char*) tmr+8) /* Preload Mid Re */ #defie cprl (( usied char*) tmr+10) /* Preload Lo Re */ #defie crh (( usied char*) tmr+14) /* Couter Hi Re */ #defie crm (( usied char*) tmr+16) /* Couter Mid Re */ #defie crl (( usied char*) tmr+18) /* Couter Lo Re */ #defie tsr (( usied char*) tmr+20) /* Timer Status Re */ /* Parallel I/O Reister Addresses */ #defie PGCR ( usied char*)0xfe8001 /* PI/T Geeral Cotrol Re */ #defie PSRR ( usied char*)0xfe8003 /* PI/T Service Routie Re */ #defie PIVR ( usied char*)0xfe800b /* PI/T Iterrupt Vector Re */ #defie PSR ( usied char*)0xfe801b /* PI/T Status Re */ #defie PACR ( usied char*)0xfe800d /* PI/T Port A Cotrol Re */ #defie PADDR ( usied char*)0xfe8005 /* Port A Data Directio Re */ #defie PADR ( usied char*)0xfe8011 /* Port A Data Re */ #defie PBCR ( usied char*)0xfe800f /* Port B Cotrol Re */ #defie PBDDR ( usied char*)0xfe8007 /* Port B Data Directio Re */ #defie PBDR ( usied char*)0xfe8013 /* Port B Data Re */ void isr() { pritf("five secs has passed\"); *pbdr = *padr ; /* This is really the mai job of isr * It copies the cotet Port A data reister (our iput port) ad the places it to Port B (our output port)*/ mai () { lo *vtable; it cout= ; asm( move.w #$2400,SR"); asm( movea.l #$20000,SP); *PGCR = 0x0F; /* disable Port A & B */ *PADDR = 0x00; /* Set Port A as iput */ *PBDDR = 0xFF; /* set Port B as Output */ *PSRR = 0x00; /* set PI/T for o Iterrupts */ *PBCR = 0x00; /*0r 0x80*/ /* Set Port B Cotrol */ *PACR = 0x40; /*0r 0x80*/ /* Set Port A Cotrol */ /****Prepare CPU for a iterrupt processi**/ *tivr = 70; vtable = (lo *) (70*4); *vtable = isr; /****Set up timer cotrol reister*/ *tcr = 0xA0; /* Set Timer Mode */ *cprl = (usied char) cout; cout = cout >> 8; /* shift riht 8 bits */ *cprm = (usied char) cout; cout = cout >> 8; /* shift riht 8 bits */ *cprh = (usied char) cout; *tcr = 0xA1; /* Start timer */ } *tsr = 0x01; /* reset the ZDS bit */ asm(" rte"); while (1) { /* Create a ifiite loop which does othi*/ } } Desi of Computi Systems Ricardo Gutierrez-Osua Wriht State Uiversity

Lecture 12: PI/T parallel I/O, part II

Lecture 12: PI/T parallel I/O, part II Lecture 12: PI/T parallel I/O, part II Terms ad defiitios PI/T modes of operatio Modes ad sub-modes A example i C lauae Microprocessor-based System Desi Wriht State Uiversity 1 Term ad symbol defiitios

More information

Lecture 11: PI/T parallel I/O, part I

Lecture 11: PI/T parallel I/O, part I Lecture 11: PI/T parallel I/O, part I Geeral descriptio of the parallel I/O fuctio Bufferi Hadshaki Iput ad Output trasfers Timi Diarams Reister model of the 68230 Port Geeral Cotrol Reister (PGCR) Port

More information

The Motorola PI/T

The Motorola PI/T The Motorola 623 PI/T bits wide H-H4 Port A Port A Data Register, PADR: $FF Data Direction Register, PADDR: $FF4 Port A Register, PACR: $FFC TCR TSR bits wide Port B Port B Data Register, PBDR: $FF2 Data

More information

Computer Architecture. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Computer Architecture. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Computer rchitecture Microcomputer rchitecture ad Iterfacig Colorado School of Mies Professor William Hoff Computer Hardware Orgaizatio Processor Performs all computatios; coordiates data trasfer Iput

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

DATA SHEET AND USER GUIDE

DATA SHEET AND USER GUIDE V L S I I P O W N E D B Y A V I R A L M I T T A L Mp3HufDec DATA SHEET AND USER GUIDE Mp3HufDec ISO 11172-3 LAYER III HUFFMAN DECODER. ISO 11172-3 Layer III or commoly called as Mp3, employs Huffma ecodi

More information

The terminal display function can be done using HexOut.

The terminal display function can be done using HexOut. INTERRUPT TIMED CLOCK ABSTRACT: This laboratory will introduce the student to the generation of interrupts (using a programmable timer), the handling of an interrupt, and the priority of interrupts. This

More information

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 12: Virtual Memory Prof. Yajig Li Uiversity of Chicago A System with Physical Memory Oly Examples: most Cray machies early PCs Memory early all embedded systems

More information

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns K-NET bus The K-Net bus is based o the SPI bus but it allows to addressig may differet turrets like the I 2 C bus. The K-Net is 6 a wires bus (4 for SPI wires ad 2 additioal wires for request ad ackowledge

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two Give submodule mux32two: 2-to- MUX module mux32two (iput [3:] i,i, iput sel, output [3:] out); Module Istatiatio Fiite Machies esig methodology for sequetial logic -- idetify distict s -- create trasitio

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

Lecture 13: Validation

Lecture 13: Validation Lecture 3: Validatio Resampli methods Holdout Cross Validatio Radom Subsampli -Fold Cross-Validatio Leave-oe-out The Bootstrap Bias ad variace estimatio Three-way data partitioi Itroductio to Patter Recoitio

More information

Lecture 17: Feature Subset Selection II

Lecture 17: Feature Subset Selection II Lecture 17: Feature Subset Selectio II Expoetial search methods Brach ad Boud Approximate Mootoicity with Brach ad Boud Beam Search Radomized alorithms Radom Geeratio plus Sequetial Selectio Simulated

More information

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

MEMORY-MAPPED I /O. Universiti Teknikal Malaysia Melaka. Input/Output System Design

MEMORY-MAPPED I /O. Universiti Teknikal Malaysia Melaka. Input/Output System Design Universiti Teknikal Malaysia Melaka Faculty of Electronics and Computer Engineering Department of Computer Engineering BENM 2123 MICROPROCESSOR TECHNOLOGY Instead of connecting to auxiliary memory, address

More information

Recursion. Recursion. Mathematical induction: example. Recursion. The sum of the first n odd numbers is n 2 : Informal proof: Principle:

Recursion. Recursion. Mathematical induction: example. Recursion. The sum of the first n odd numbers is n 2 : Informal proof: Principle: Recursio Recursio Jordi Cortadella Departmet of Computer Sciece Priciple: Reduce a complex problem ito a simpler istace of the same problem Recursio Itroductio to Programmig Dept. CS, UPC 2 Mathematical

More information

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t s Itroductio to the Features of MicroAutoBox t System Overview Objective Where to go from here dspace provides the MicroAutoBox i differet variats. This sectio gives you a overview o the MicroAutoBox's

More information

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization Ed Semester Examiatio 2013-14 CSE, III Yr. (I Sem), 30002: Computer Orgaizatio Istructios: GROUP -A 1. Write the questio paper group (A, B, C, D), o frot page top of aswer book, as per what is metioed

More information

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000.

Basic allocator mechanisms The course that gives CMU its Zip! Memory Management II: Dynamic Storage Allocation Mar 6, 2000. 5-23 The course that gives CM its Zip Memory Maagemet II: Dyamic Storage Allocatio Mar 6, 2000 Topics Segregated lists Buddy system Garbage collectio Mark ad Sweep Copyig eferece coutig Basic allocator

More information

Avid Interplay Bundle

Avid Interplay Bundle Avid Iterplay Budle Versio 2.5 Cofigurator ReadMe Overview This documet provides a overview of Iterplay Budle v2.5 ad describes how to ru the Iterplay Budle cofiguratio tool. Iterplay Budle v2.5 refers

More information

L6: FSMs and Synchronization

L6: FSMs and Synchronization L6: FSMs ad Sychroizatio Ackowledgemets: Materials i this lecture are courtesy of the followig sources ad are used with permissio. Rex Mi J. Rabaey, A. Chadrakasa, B. Nikolic. igital Itegrated Circuits:

More information

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018 Fudametals of Chapter 1 Microprocessor ad Microcotroller Dr. Farid Farahmad Updated: Tuesday, Jauary 16, 2018 Evolutio First came trasistors Itegrated circuits SSI (Small-Scale Itegratio) to ULSI Very

More information

Computer Science Foundation Exam. August 12, Computer Science. Section 1A. No Calculators! KEY. Solutions and Grading Criteria.

Computer Science Foundation Exam. August 12, Computer Science. Section 1A. No Calculators! KEY. Solutions and Grading Criteria. Computer Sciece Foudatio Exam August, 005 Computer Sciece Sectio A No Calculators! Name: SSN: KEY Solutios ad Gradig Criteria Score: 50 I this sectio of the exam, there are four (4) problems. You must

More information

Lecture 1: Introduction and Strassen s Algorithm

Lecture 1: Introduction and Strassen s Algorithm 5-750: Graduate Algorithms Jauary 7, 08 Lecture : Itroductio ad Strasse s Algorithm Lecturer: Gary Miller Scribe: Robert Parker Itroductio Machie models I this class, we will primarily use the Radom Access

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

Outline. CSCI 4730 Operating Systems. Questions. What is an Operating System? Computer System Layers. Computer System Layers

Outline. CSCI 4730 Operating Systems. Questions. What is an Operating System? Computer System Layers. Computer System Layers Outlie CSCI 4730 s! What is a s?!! System Compoet Architecture s Overview Questios What is a?! What are the major operatig system compoets?! What are basic computer system orgaizatios?! How do you commuicate

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Computer Architecture 5.1. Computer Architecture. 5.2 Vector Address: Interrupt sources (IS) such as I/O, Timer 5.3. Computer Architecture

Computer Architecture 5.1. Computer Architecture. 5.2 Vector Address: Interrupt sources (IS) such as I/O, Timer 5.3. Computer Architecture License: http://creativecommons.org/licenses/by-nc-nd/3./ Hardware interrupt: 5. If in an eternal device (for eample I/O interface) a predefined event occurs this device issues an interrupt request to

More information

Using VTR Emulation on Avid Systems

Using VTR Emulation on Avid Systems Usig VTR Emulatio o Avid Systems VTR emulatio allows you to cotrol a sequece loaded i the Record moitor from a edit cotroller for playback i the edit room alog with other sources. I this sceario the edit

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

Algorithm. Counting Sort Analysis of Algorithms

Algorithm. Counting Sort Analysis of Algorithms Algorithm Coutig Sort Aalysis of Algorithms Assumptios: records Coutig sort Each record cotais keys ad data All keys are i the rage of 1 to k Space The usorted list is stored i A, the sorted list will

More information

UPPAAL tutorial. UPPAAL modeling language. Timed Automata in UPPAAL. Networks of Timed Automata. Declarations in UPPAAL. x y + n Clock guards

UPPAAL tutorial. UPPAAL modeling language. Timed Automata in UPPAAL. Networks of Timed Automata. Declarations in UPPAAL. x y + n Clock guards UPPAAL tutorial What s iside UPPAAL The UPPAAL iut lauaes (i.e. TA ad TTL i UPPAAL) 1 x : = Timed Automata i UPPAAL lock Assimets Locatio Ivariats Variable Assimets x=5, y>3 Exr :: = i

More information

Contactless Card Read/Write Module YW-201 User s Manual

Contactless Card Read/Write Module YW-201 User s Manual Cotactless Card Read/Write Module YW-201 User s Maual (versio 1.4) Eglish WEB: MSN&EMAIL: Zhou21c@126.com PHONE: 086-10-87171913 MOBILE: 086-13671114914 MANAGER: Mr Zhou Cotactless Card, RFID Page 1 /

More information

MC68000 TRAINER BOARD STUDENT S GUIDE

MC68000 TRAINER BOARD STUDENT S GUIDE MC68000 TRAINER BOARD STUDENT S GUIDE EEE462 ELECTRICAL ENGINEERING LAB 4 ECE511 DIGITAL SYSTEM AND MICROPROCESSOR Supplementary Material Prof Madya Datin Dr Wahidah Mansor Prof Madya Dr Habibah Hashim

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager.

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager. CS2310 Fial Project Loghao Li Term Project Report Itroductio I this project, I worked o expadig exercise 4. What I focused o is makig the real gesture recogizig sesor ad desig proper gestures ad recogizig

More information

Performance Plus Software Parameter Definitions

Performance Plus Software Parameter Definitions Performace Plus+ Software Parameter Defiitios/ Performace Plus Software Parameter Defiitios Chapma Techical Note-TG-5 paramete.doc ev-0-03 Performace Plus+ Software Parameter Defiitios/2 Backgroud ad Defiitios

More information

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs What are we goig to lear? CSC316-003 Data Structures Aalysis of Algorithms Computer Sciece North Carolia State Uiversity Need to say that some algorithms are better tha others Criteria for evaluatio Structure

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Single-Cycle Disadvantages & Advantages COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 4 The Processor Pipeliig Sigle-Cycle Disadvatages & Advatages Clk Uses the clock cycle iefficietly the clock cycle must

More information

Introduction to GAMIT/GLOBK Applications of GLOBK. Lecture 11 OVERVIEW

Introduction to GAMIT/GLOBK Applications of GLOBK. Lecture 11 OVERVIEW Itroductio to GAMIT/GLOBK Applicatios of GLOBK Lecture 11 GAMIT/GLOBK Lec11 1 OVERVIEW o I this lecture we cover: o Basic types of aalyses with globk l Velocity ad repeatability rus o GLOBK acillary programs

More information

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 3 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

CSC165H1 Worksheet: Tutorial 8 Algorithm analysis (SOLUTIONS)

CSC165H1 Worksheet: Tutorial 8 Algorithm analysis (SOLUTIONS) CSC165H1, Witer 018 Learig Objectives By the ed of this worksheet, you will: Aalyse the ruig time of fuctios cotaiig ested loops. 1. Nested loop variatios. Each of the followig fuctios takes as iput a

More information

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea 5-1 Chapter 5 Processor Desig Advaced Topics Chapter 5: Processor Desig Advaced Topics Topics 5.3 Microprogrammig Cotrol store ad microbrachig Horizotal ad vertical microprogrammig 5- Chapter 5 Processor

More information

Data diverse software fault tolerance techniques

Data diverse software fault tolerance techniques Data diverse software fault tolerace techiques Complemets desig diversity by compesatig for desig diversity s s limitatios Ivolves obtaiig a related set of poits i the program data space, executig the

More information

Lecture 5. Counting Sort / Radix Sort

Lecture 5. Counting Sort / Radix Sort Lecture 5. Coutig Sort / Radix Sort T. H. Corme, C. E. Leiserso ad R. L. Rivest Itroductio to Algorithms, 3rd Editio, MIT Press, 2009 Sugkyukwa Uiversity Hyuseug Choo choo@skku.edu Copyright 2000-2018

More information

Behavioral Modeling in Verilog

Behavioral Modeling in Verilog Behavioral Modelig i Verilog COE 202 Digital Logic Desig Dr. Muhamed Mudawar Kig Fahd Uiversity of Petroleum ad Mierals Presetatio Outlie Itroductio to Dataflow ad Behavioral Modelig Verilog Operators

More information

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis Itro to Algorithm Aalysis Aalysis Metrics Slides. Table of Cotets. Aalysis Metrics 3. Exact Aalysis Rules 4. Simple Summatio 5. Summatio Formulas 6. Order of Magitude 7. Big-O otatio 8. Big-O Theorems

More information

Exercise 6 (Week 42) For the foreign students only.

Exercise 6 (Week 42) For the foreign students only. These are the last exercises of the course. Please, remember that to pass exercises, the sum of the poits gathered by solvig the questios ad attedig the exercise groups must be at least 4% ( poits) of

More information

Mark IIIA/IV/VLBA Tape Formats, Recording Modes and Compatibility

Mark IIIA/IV/VLBA Tape Formats, Recording Modes and Compatibility rk IIIA/IV/VLBA Tape Formats, Recordi Modes ad Compatibility COTETS Revisio 1.2 Ala R. Whitey MIT Haystack Observatory 28 September 2000 1. ITRODUCTIO 3 2. MARK IV TAPE FORMAT AD RECORDIG MODES 3 2.1 Loitudial

More information

CAEN Tools for Discovery

CAEN Tools for Discovery Applicatio Note AN2086 Sychroizatio of CAEN Digitizers i Multiple Board Acquisitio Systems Viareggio 9 May 2013 Itroductio High speed digitizers fid applicatios i several fields ragig from the idustry

More information

State-space feedback 6 challenges of pole placement

State-space feedback 6 challenges of pole placement State-space feedbac 6 challeges of pole placemet J Rossiter Itroductio The earlier videos itroduced the cocept of state feedbac ad demostrated that it moves the poles. x u x Kx Bu It was show that whe

More information

Architectural styles for software systems The client-server style

Architectural styles for software systems The client-server style Architectural styles for software systems The cliet-server style Prof. Paolo Ciacarii Software Architecture CdL M Iformatica Uiversità di Bologa Ageda Cliet server style CS two tiers CS three tiers CS

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 6 Defiig Fuctios Pytho Programmig, 2/e 1 Objectives To uderstad why programmers divide programs up ito sets of cooperatig fuctios. To be able to

More information

Threads and Concurrency in Java: Part 1

Threads and Concurrency in Java: Part 1 Cocurrecy Threads ad Cocurrecy i Java: Part 1 What every computer egieer eeds to kow about cocurrecy: Cocurrecy is to utraied programmers as matches are to small childre. It is all too easy to get bured.

More information

Threads and Concurrency in Java: Part 1

Threads and Concurrency in Java: Part 1 Threads ad Cocurrecy i Java: Part 1 1 Cocurrecy What every computer egieer eeds to kow about cocurrecy: Cocurrecy is to utraied programmers as matches are to small childre. It is all too easy to get bured.

More information

Introduction to OSPF. ISP Training Workshops

Introduction to OSPF. ISP Training Workshops Itroductio to OSPF ISP Traiig Workshops 1 OSPF p Ope Shortest Path First p Lik state or SPF techology p Developed by OSPF workig group of IETF (RFC 1247) p OSPFv2 stadard described i RFC2328 p Desiged

More information

Math Section 2.2 Polynomial Functions

Math Section 2.2 Polynomial Functions Math 1330 - Sectio. Polyomial Fuctios Our objectives i workig with polyomial fuctios will be, first, to gather iformatio about the graph of the fuctio ad, secod, to use that iformatio to geerate a reasoably

More information

Lecture 1: Introduction

Lecture 1: Introduction Lecture 1: Itroductio g Class orgaizatio Istructor cotact Course objectives ad outcomes Lectures outlie Laboratory outlie Gradig system Tetative schedule g Lab schedule g Itelliget sesor systems (ISS)

More information

Computers and Scientific Thinking

Computers and Scientific Thinking Computers ad Scietific Thikig David Reed, Creighto Uiversity Chapter 15 JavaScript Strigs 1 Strigs as Objects so far, your iteractive Web pages have maipulated strigs i simple ways use text box to iput

More information

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only

Bezier curves. Figure 2 shows cubic Bezier curves for various control points. In a Bezier curve, only Edited: Yeh-Liag Hsu (998--; recommeded: Yeh-Liag Hsu (--9; last updated: Yeh-Liag Hsu (9--7. Note: This is the course material for ME55 Geometric modelig ad computer graphics, Yua Ze Uiversity. art of

More information

Reliable Transmission. Spring 2018 CS 438 Staff - University of Illinois 1

Reliable Transmission. Spring 2018 CS 438 Staff - University of Illinois 1 Reliable Trasmissio Sprig 2018 CS 438 Staff - Uiversity of Illiois 1 Reliable Trasmissio Hello! My computer s ame is Alice. Alice Bob Hello! Alice. Sprig 2018 CS 438 Staff - Uiversity of Illiois 2 Reliable

More information

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved.

Chapter 11. Friends, Overloaded Operators, and Arrays in Classes. Copyright 2014 Pearson Addison-Wesley. All rights reserved. Chapter 11 Frieds, Overloaded Operators, ad Arrays i Classes Copyright 2014 Pearso Addiso-Wesley. All rights reserved. Overview 11.1 Fried Fuctios 11.2 Overloadig Operators 11.3 Arrays ad Classes 11.4

More information

Chapter 4. Procedural Abstraction and Functions That Return a Value. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 4. Procedural Abstraction and Functions That Return a Value. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 4 Procedural Abstractio ad Fuctios That Retur a Value Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 4.1 Top-Dow Desig 4.2 Predefied Fuctios 4.3 Programmer-Defied Fuctios 4.4

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

CS 111: Program Design I Lecture # 7: First Loop, Web Crawler, Functions

CS 111: Program Design I Lecture # 7: First Loop, Web Crawler, Functions CS 111: Program Desig I Lecture # 7: First Loop, Web Crawler, Fuctios Robert H. Sloa & Richard Warer Uiversity of Illiois at Chicago September 18, 2018 What will this prit? x = 5 if x == 3: prit("hi!")

More information

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels:

The following algorithms have been tested as a method of converting an I.F. from 16 to 512 MHz to 31 real 16 MHz USB channels: DBE Memo#1 MARK 5 MEMO #18 MASSACHUSETTS INSTITUTE OF TECHNOLOGY HAYSTACK OBSERVATORY WESTFORD, MASSACHUSETTS 1886 November 19, 24 Telephoe: 978-692-4764 Fax: 781-981-59 To: From: Mark 5 Developmet Group

More information

1 Enterprise Modeler

1 Enterprise Modeler 1 Eterprise Modeler Itroductio I BaaERP, a Busiess Cotrol Model ad a Eterprise Structure Model for multi-site cofiguratios are itroduced. Eterprise Structure Model Busiess Cotrol Models Busiess Fuctio

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

Announcements. Reading. Project #4 is on the web. Homework #1. Midterm #2. Chapter 4 ( ) Note policy about project #3 missing components

Announcements. Reading. Project #4 is on the web. Homework #1. Midterm #2. Chapter 4 ( ) Note policy about project #3 missing components Aoucemets Readig Chapter 4 (4.1-4.2) Project #4 is o the web ote policy about project #3 missig compoets Homework #1 Due 11/6/01 Chapter 6: 4, 12, 24, 37 Midterm #2 11/8/01 i class 1 Project #4 otes IPv6Iit,

More information

System and Software Architecture Description (SSAD)

System and Software Architecture Description (SSAD) System ad Software Architecture Descriptio (SSAD) Diabetes Health Platform Team #6 Jasmie Berry (Cliet) Veerav Naidu (Project Maager) Mukai Nog (Architect) Steve South (IV&V) Vijaya Prabhakara (Quality

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13

CIS 121 Data Structures and Algorithms with Java Spring Stacks and Queues Monday, February 12 / Tuesday, February 13 CIS Data Structures ad Algorithms with Java Sprig 08 Stacks ad Queues Moday, February / Tuesday, February Learig Goals Durig this lab, you will: Review stacks ad queues. Lear amortized ruig time aalysis

More information

CIS 121. Introduction to Trees

CIS 121. Introduction to Trees CIS 121 Itroductio to Trees 1 Tree ADT Tree defiitio q A tree is a set of odes which may be empty q If ot empty, the there is a distiguished ode r, called root ad zero or more o-empty subtrees T 1, T 2,

More information

CMSC Computer Architecture Lecture 3: ISA and Introduction to Microarchitecture. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 3: ISA and Introduction to Microarchitecture. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 3: ISA ad Itroductio to Microarchitecture Prof. Yajig Li Uiversity of Chicago Lecture Outlie ISA uarch (hardware implemetatio of a ISA) Logic desig basics Sigle-cycle

More information

Alpha Individual Solutions MAΘ National Convention 2013

Alpha Individual Solutions MAΘ National Convention 2013 Alpha Idividual Solutios MAΘ Natioal Covetio 0 Aswers:. D. A. C 4. D 5. C 6. B 7. A 8. C 9. D 0. B. B. A. D 4. C 5. A 6. C 7. B 8. A 9. A 0. C. E. B. D 4. C 5. A 6. D 7. B 8. C 9. D 0. B TB. 570 TB. 5

More information

Abstract. Chapter 4 Computation. Overview 8/13/18. Bjarne Stroustrup Note:

Abstract. Chapter 4 Computation. Overview 8/13/18. Bjarne Stroustrup   Note: Chapter 4 Computatio Bjare Stroustrup www.stroustrup.com/programmig Abstract Today, I ll preset the basics of computatio. I particular, we ll discuss expressios, how to iterate over a series of values

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

Nodal Circuit Analysis Using KCL. Most useful for when we have mostly current sources Node analysis uses KCL to establish the currents

Nodal Circuit Analysis Using KCL. Most useful for when we have mostly current sources Node analysis uses KCL to establish the currents Nodal Circuit Aalysis Usi KCL Most useful for whe we have mostly curret sources Node aalysis uses KCL to establish the currets Procedure () Choose oe ode as the commo (or datum) ode Number (label) the

More information

Examples and Applications of Binary Search

Examples and Applications of Binary Search Toy Gog ITEE Uiersity of Queeslad I the secod lecture last week we studied the biary search algorithm that soles the problem of determiig if a particular alue appears i a sorted list of iteger or ot. We

More information

MapReduce and Hadoop. Debapriyo Majumdar Data Mining Fall 2014 Indian Statistical Institute Kolkata. November 10, 2014

MapReduce and Hadoop. Debapriyo Majumdar Data Mining Fall 2014 Indian Statistical Institute Kolkata. November 10, 2014 MapReduce ad Hadoop Debapriyo Majumdar Data Miig Fall 2014 Idia Statistical Istitute Kolkata November 10, 2014 Let s keep the itro short Moder data miig: process immese amout of data quickly Exploit parallelism

More information

How do we evaluate algorithms?

How do we evaluate algorithms? F2 Readig referece: chapter 2 + slides Algorithm complexity Big O ad big Ω To calculate ruig time Aalysis of recursive Algorithms Next time: Litterature: slides mostly The first Algorithm desig methods:

More information

Baan Tools User Management

Baan Tools User Management Baa Tools User Maagemet Module Procedure UP008A US Documetiformatio Documet Documet code : UP008A US Documet group : User Documetatio Documet title : User Maagemet Applicatio/Package : Baa Tools Editio

More information

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK

ELEG 5173L Digital Signal Processing Introduction to TMS320C6713 DSK Departmet of Electrical Egieerig Uiversity of Arasas ELEG 5173L Digital Sigal Processig Itroductio to TMS320C6713 DSK Dr. Jigia Wu wuj@uar.edu ANALOG V.S DIGITAL 2 Aalog sigal processig ASP Aalog sigal

More information

CHAPTER IV: GRAPH THEORY. Section 1: Introduction to Graphs

CHAPTER IV: GRAPH THEORY. Section 1: Introduction to Graphs CHAPTER IV: GRAPH THEORY Sectio : Itroductio to Graphs Sice this class is called Number-Theoretic ad Discrete Structures, it would be a crime to oly focus o umber theory regardless how woderful those topics

More information

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Pin Description, Status & Control Signals of 8085 Microprocessor

Pin Description, Status & Control Signals of 8085 Microprocessor Pin Description, Status & Control Signals of 8085 Microprocessor 1 Intel 8085 CPU Block Diagram 2 The 8085 Block Diagram Registers hold temporary data. Instruction register (IR) holds the currently executing

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5.

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5. Morga Kaufma Publishers 26 February, 208 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Virtual Memory Review: The Memory Hierarchy Take advatage of the priciple

More information

CSE 417: Algorithms and Computational Complexity

CSE 417: Algorithms and Computational Complexity Time CSE 47: Algorithms ad Computatioal Readig assigmet Read Chapter of The ALGORITHM Desig Maual Aalysis & Sortig Autum 00 Paul Beame aalysis Problem size Worst-case complexity: max # steps algorithm

More information

A graphical view of big-o notation. c*g(n) f(n) f(n) = O(g(n))

A graphical view of big-o notation. c*g(n) f(n) f(n) = O(g(n)) ca see that time required to search/sort grows with size of We How do space/time eeds of program grow with iput size? iput. time: cout umber of operatios as fuctio of iput Executio size operatio Assigmet:

More information

Chapter 9. Pointers and Dynamic Arrays. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 9. Pointers and Dynamic Arrays. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 9 Poiters ad Dyamic Arrays Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 9.1 Poiters 9.2 Dyamic Arrays Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Slide 9-3

More information

Computer Graphics Hardware An Overview

Computer Graphics Hardware An Overview Computer Graphics Hardware A Overview Graphics System Moitor Iput devices CPU/Memory GPU Raster Graphics System Raster: A array of picture elemets Based o raster-sca TV techology The scree (ad a picture)

More information

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Pseudocode ( 1.1) High-level descriptio of a algorithm More structured

More information

ECE4050 Data Structures and Algorithms. Lecture 6: Searching

ECE4050 Data Structures and Algorithms. Lecture 6: Searching ECE4050 Data Structures ad Algorithms Lecture 6: Searchig 1 Search Give: Distict keys k 1, k 2,, k ad collectio L of records of the form (k 1, I 1 ), (k 2, I 2 ),, (k, I ) where I j is the iformatio associated

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 22 Database Recovery Techiques Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Recovery algorithms Recovery cocepts Write-ahead

More information

Topics. Interfacing chips

Topics. Interfacing chips 8086 Interfacing ICs 2 Topics Interfacing chips Programmable Communication Interface PCI (8251) Programmable Interval Timer (8253) Programmable Peripheral Interfacing - PPI (8255) Programmable DMA controller

More information