Pessimism removal in a system analysis of a 28Gbps SERDES link

Size: px
Start display at page:

Download "Pessimism removal in a system analysis of a 28Gbps SERDES link"

Transcription

1 Pessimism removal in a system analysis of a 28Gbps SERDES link SERDES system modeling analysis from silicon macro to connector Olivier BAYET & Massimo Cereda, STMicroelectronics May 11 th 2016 from 9:50 to 10:10

2 Introduction 2 Networking processor integration and performance increase: Pushing the limits of the serial interface data transfer rates. Requiring new techniques for the optimization of the system to ensure signal integrity of the serial link. This presentation: Shows the limitation of the conventional approach for modeling. Proposes a solution to remove pessimism for simulation. Highlights the advantages and drawbacks of both solutions.

3 Context - SERDES 3 In networking applications, the serial link (based on SERDES macro) is the standard interface to exchange data between different devices. What is a SERDES? A SERializer- DESerializer which provides 2 differential pairs to input and output differential signals from and to the external environment. Enables bidirectional data exchange at different data rate depending on standards SERDES standards for 25-28Gbps links: IEEE 802.3: IEEE802.3bj 100GBASE-CR4 CEI-3.1: CEI-25G-LR, CEI-28G-SR or CEI-28G-MR

4 Context - System 4 A SERDES macro is integrated in a silicon device which is part of a package building a component. The component is integrated on a board which is linked to the external environment with a connector and a cable: SERDES macro on silicon die TP TP TP TP Package Board Connector TP Cable Case 1 Case 2 TP = Test Point

5 Modeling & simulation approaches 5 Study case: A test vehicle of a 28Gbps SERDES macro in ST 28FD-SOI technology node. A silicon device embedding a SERDES macro of 8 data slices & 1 clock slice. A BGA package using a laminate substrate built with low loss dielectric material. A test board with connectors. Modeling & simulation using the ANSYS Electronic Desktop 16.2 tool suite with HFSS, HFSS 3D Layout and Circuit Design. Supported standards: CEI-28G-MR. 2 approaches: Conventional method: S-parameter stitching. Holistic method: Merged layout.

6 Balls Bumps Balls Conventional method - S-parameter stitching Description Considers each contributor to the system as independent. 3D views in ANSYS HFSS 6 Modeling of each element separately. The resulted models are stitched together to create the system schematic. The created system is then analyzed. Package Connectors Board + Connector

7 Conventional method - S-parameter stitching Results Differential return loss: Package 7 RX1 & TX1 at die bump & package ball Differential return loss: Board 4.5dB margin on TX 6.8dB margin on RX RX1 or TX1 at package ball & connector

8 Conventional method - S-parameter stitching Results Case 1: Differential return loss: Package + Board + Connector 8 Package model Board + connector model Increasing loss compared to package or board alone RX1 at die bump & connector 4dB margin on RX

9 Conventional method - S-parameter stitching Results Case 2: Differential return loss: Die + Package + Board + Connector 9 SERDES die model Package model Board + connector model RX1 & TX1 at connector Violation on TX1

10 Bumps Holistic method Merged layout Description 10 Builds the system at layout level Corresponds to a merge of the layouts from the different contributors 3D view in ANSYS HFSS Connectors Modeling of a single element The resulted model is directly used to analyze the system. Package + Board + Connector

11 Holistic method Merged layout Results Case 1: Differential return loss: Package + Board + Connector 11 RX1 at die bump & connector Model stitching Merged layout Worst case improved by ~2dB

12 Holistic method Merged layout Results Case 2: Differential return loss: Die + Package + Board + Connector SERDES die model Package + board + connector model 12 Worst case improved by ~2dB RX1 & TX1 at connector TX1 now passing

13 Result summary 13 Case 1: Package + board + connector Conventional Merged layout Return loss margin 4dB 6dB Case 2: System with die + package + board + connector Conventional Merged layout Return loss margin Violation 2dB Conventional Merged layout Package Package + Board + Combining Board + Connector model Connector Setup time 30min 1h 30min 1h30 Run time 2h 2h40 1min 5h RAM 32GB 20GB - 32GB Could be in // Package Conventional Board + Connector Combining model Merged layout Package + Board + Connector Combining model Setup time 30min 1h 35min 1h30 15min Run time 2h 2h40 2min 5h 2min RAM 32GB 20GB - 32GB - Could be in // Conventional approach for design update loops & Merged layout approach for final analysis

14 Simulation vs Measurement 14 Partial correlation done thanks to measurement of test traces on board and comparison with simulation of the same setup. Board only Short trace: 44mm Simulation Measure Differential return loss

15 Simulation vs Measurement 15 Partial correlation done thanks to measurement of dedicated board and comparison with simulation of the same setup Board only Long trace: 90mm Simulation Measure Differential return loss

16 Conclusion 16 The study confirms the need to take into account not only the package, but at least a piece of the board when studying a SERDES link. Conventional approach: Enables quick setup and analysis, with flexibility to change models, Leads to some pessimism in the results due to model connectivity. Holistic approach: Defines accurately the physical interface between the layouts, Requires mature layout and more computing resources, Allows to go from a failing system simulation to a passing one without layout updates Switching from conventional to holistic method reduces the risk of redesign or overdesign as well as extra cost to meet specifications

17 17 Thanks Any questions?

Signal Integrity Analysis for 56G-PAM4 Channel of 400G Switch

Signal Integrity Analysis for 56G-PAM4 Channel of 400G Switch Signal Integrity Analysis for 56G-PAM4 Channel of 400G Switch Sophia Feng/Vincent Wen of Celestica sopfeng@celestica.com Asian IBIS Summit Shanghai, PRC November 13, 2017 Agenda Background 200GBASE-KR4

More information

802.3cb PMD and Channel. Anthony Calbone 3/14/2016

802.3cb PMD and Channel. Anthony Calbone 3/14/2016 802.3cb PMD and Channel Anthony Calbone 3/14/2016 Overview The presentation introduces a single link segment for each 2.5 Gb/s and 5 Gb/s for 802.3cb This link segment is described for two different reference

More information

Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary

Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary Liav Ben Artsi, Marvell Israel Ltd. September 2018 Suggested PKG Model Cases Length Ball Side Discontinuity Xtalk (Suggest

More information

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards Enabling SI Productivity Part 2 Venkatesh Seetharam Aaron Edwards 1 Problem Statement SI engineers use simulation software to squeeze the most performance out of their design. They will tend to focus on

More information

OIF CEI-56G Project Activity

OIF CEI-56G Project Activity OIF CEI-56G Project Activity Progress and Challenges for Next Generation 400G Electrical Links David R Stauffer Kandou Bus, SA OIF Physical & Link Layer Working Group Chair June 12, 2014 Electrical Implementation

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

100GEL C2M Channel Analysis Update

100GEL C2M Channel Analysis Update 100GEL C2M Channel Analysis Update Jane Lim, Cisco Pirooz Tooyserkani, Cisco Upen Reddy Kareti, Cisco Joel Goergen, Cisco Marco Mazzini, Cisco 9/5/2018 IEEE P802.3ck 100Gb/s, 200Gb/s, and 400Gb/s Electrical

More information

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing 400G The Wave of the Future Michael G. Furlong - Senior Director, Product Marketing mfurlong@inphi.com ECOC 2017 100G is Ramping in the Cloud 100G Now Shipping (~2H2016) Numerous Market Reports Millions

More information

PPI MCB HCB Detail Specifications and Connector Pinout

PPI MCB HCB Detail Specifications and Connector Pinout PPI MCB HCB Detail Specifications and Connector Pinout IEEE P802.3ba New Orleans Jan 13 2009 Ali Ghiasi Broadcom Corporation aghiasi@broadcom.com 802.3 HSSG 1/15 Nov 13, 2007 1 Key Items Require Further

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive 2018 BiTS Workshop Image: pilgrims49 / istock COPYRIGHT NOTICE The presentation(s)/poster(s) in this publication comprise the Proceedings

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 ANSYS, Inc. September 14, Problem Statement Usually SI engineers extract only the package or the pcb due to the trade-offs

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System MDI for 4x25G Copper and Fiber Optic IO Quadra (CFP4 proposal) Connector System Nov 7, 2011 Nathan Tracy, TE Connectivity Tom Palkert, Molex 4x25Gb/s MDI Potential Requirements Critical Needs: Excellent

More information

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004 ATCA Platform Considerations for Backplane Ethernet Aniruddha Kundu Michael Altmann Intel Corporation May 2004 IEEE 802.3ap Back Plane Ethernet TF Interim meeting May 2004 1 Introduction This presentation

More information

CEI-56G Signal Integrity to the Forefront

CEI-56G Signal Integrity to the Forefront CEI-56G Signal Integrity to the Forefront As the next generation of data rates beyond 28Gb/s were being contemplated a number of key questions arose; would the previously reliable NRZ (non return to zero)

More information

DC Network Connectivity

DC Network Connectivity DC Network Connectivity Options & Optimizing TCO Rakesh SAMBARAJU - Application Engineer Nexans Data Center Solutions Agenda l Part I Ethernet Standards and Technologies Ø Current Ethernet Standards Ø

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems

IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems Hongtao Zhang, hongtao@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Xiaoqing Dong, dongxiaoqing82@huawei.com Geoff Zhang, geoffz@xilinx.com Outline

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

Design Guidelines for 100 Gbps - CFP2 Interface

Design Guidelines for 100 Gbps - CFP2 Interface 2014.01.16 AN-684 Subscribe This document shows an example layout design that implements a 4 x 25/28 Gbps CFP2 module interface that meets the insertion and return loss mask requirements proposed in the

More information

10Gbps Ethernet Solutions

10Gbps Ethernet Solutions Absolute Analysis Investigator 10Gbps Ethernet Solutions SFP+ Technology Provides Lower Cost, Longer Range with 10GBase-LRM Interface Compliance Support True 100% full line rate traffic capture with absolutely

More information

Technical Feasibility of 4x10G and 10x10G Electrical Interfaces

Technical Feasibility of 4x10G and 10x10G Electrical Interfaces Technical Feasibility of 4x10G and 10x10G Electrical Interfaces IEEE 802.3 Higher Speed Study Group 16-19 July 2007 Lew Aronson Chris Cole lew.aronson@finisar.com chris.cole@finisar.com Outline SMF Transceiver

More information

MECT Series Final Inch Designs in SFP+ Applications. Revision Date: August 20, 2009

MECT Series Final Inch Designs in SFP+ Applications. Revision Date: August 20, 2009 MECT Series Final Inch Designs in SFP+ Applications Revision Date: August 20, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group LLC COPYRIGHTS,

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Description. Features. Application. Ordering information

Description. Features. Application. Ordering information Description APAC QSFP28 Active Optical Cable (AOC) product is a new high speed pluggable I/O interface products. This interconnecting module offers 4 channels and maximum bandwidth of 100Gbps. This module

More information

High Performance Memory Opportunities in 2.5D Network Flow Processors

High Performance Memory Opportunities in 2.5D Network Flow Processors High Performance Memory Opportunities in 2.5D Network Flow Processors Jay Seaton, VP Silicon Operations, Netronome Larry Zu, PhD, President, Sarcina Technology LLC August 6, 2013 2013 Netronome 1 Netronome

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

A New Method For Developing IBIS-AMI Models

A New Method For Developing IBIS-AMI Models A New Method For Developing IBIS-AMI Models Hongtao Zhang, hongtao@xilinx.com John Baprawski, john.baprawski@gmail.com Pegah Alavi, pegah_alavi@keysight.com Geoff Zhang, geoffz@xilinx.com Executive Summary

More information

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc.

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. 1 ANSYS, Inc. September 21, Advanced Solvers: Finite Arrays with DDM 2 ANSYS, Inc. September 21, Finite Arrays

More information

VCSEL-based solderable optical modules

VCSEL-based solderable optical modules 4th Symposium on Optical Interconnect for Data Centres VCSEL-based solderable optical modules Hideyuki Nasu FITEL Products Division Furukawa Electric Co., Ltd. H. Nasu/ FITEL Products Division, Furukawa

More information

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner HFSS Solver On Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 Problem Statement Usually SI engineers extract only the package or the pcb due to the trade offs between capacity

More information

Datasheet. XFP Optical Transceiver Product Features XFP-10G-K010B33. Applications. Description. XFP Single Fiber 10 km transceiver 10G LR Ethernet

Datasheet. XFP Optical Transceiver Product Features XFP-10G-K010B33. Applications. Description. XFP Single Fiber 10 km transceiver 10G LR Ethernet XFP Optical Transceiver Product Features 10GBASE-LR/LW Ethernet 9 XFP 10 km LR XFP for SMF @ 10Gbps 1330Tx-1270Rx DFB+PIN Laser 10 km XFP 0 C - 70 C Temperature - Extended/Industrial Available 2-Wire Interface

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

GEVISTA. CWDM Pluggable OC-48 SFP Transceiver. Description

GEVISTA. CWDM Pluggable OC-48 SFP Transceiver. Description CWDM Pluggable OC-48 SFP Transceiver Description The CWDM-OC48-xx-80 is specifically designed for the high performance integrated duplex data transmission over single mode optical fiber. This transceiver

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

FPGA Discovery-III XC3S200 Board Manual

FPGA Discovery-III XC3S200 Board Manual FPGA Discovery-III XC3S200 Board Manual 77/9 SOI LADPRAO 1, LADPRAO ROAD, JOMPOL, JATUJAK DISTRICT, BANGKOK THAILAND 10900 TEL. 66(0)2939-2084 FAX.66(0)2939-2084 http://www.ailogictechnology.com 1 FPGA

More information

Obsolete Parameter Conditions Minimum Typical Maximum Units

Obsolete Parameter Conditions Minimum Typical Maximum Units Product Description The PE4242 UltraCMOS RF Switch is designed to cover a broad range of applications from 1 MHz through 3 GHz. This reflective switch integrates on-board CMOS control logic with a low

More information

XFP Bi-Directional 10G 80km Tx1490/Rx1550nm & Tx1550/Rx1490nm SLXFB-XXXX-80

XFP Bi-Directional 10G 80km Tx1490/Rx1550nm & Tx1550/Rx1490nm SLXFB-XXXX-80 XFP Bi-Directional 10G 80km Tx1490/Rx1550nm & Tx1550/Rx1490nm SLXFB-XXXX-80 Description Sourcelight SLXFB-XXXX-80 is XFP BIDI 80KM transceivers are designed for 10G Ethernet 10G BASE-LR/LW per 802.3ae

More information

Simulation Strategies for Massively Parallel Supercomputer Design

Simulation Strategies for Massively Parallel Supercomputer Design Simulation Strategies for Massively Parallel Supercomputer Design Authored by: Ansoft Corporation Special Thanks to: Cray Presentation #2 Ansoft 2003 / Global Seminars: Delivering Performance Introduction

More information

400GE at the Cost of 4 x 100GE

400GE at the Cost of 4 x 100GE 400GE at the Cost of 4 x 100GE Jeffery J. Maki Distinguished Engineer, Optical Juniper Networks, Inc. May 17, 2013 400 Gb/s Ethernet Study Group Victoria BC, Canada, May 2013 400GE over SMF by using the

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Vertical Conductive Structures

Vertical Conductive Structures Vertical Conductive Structures A new Interconnect Technique Agenda The need for an alternative PCB technology Introduction of VeCS Technology comparison Cost comparison State of VeCS technology Application

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

40G QSFP LR4 optical transceiver with DDM function

40G QSFP LR4 optical transceiver with DDM function This product is designed for fiber-communication based on optical-electrical technology. The product has 4 channels per transceiver with data rates maximum 10G per channel. The QSFP contains a duplex LC

More information

ERL for Parameter Update

ERL for Parameter Update ERL for Parameter Update Richard Mellitz, Samtec 02-21-2018 IEEE 802.3 New Ethernet Applications Ad Hoc 1 ToC Review SNR ISI Clause 136 host transmitter and receiver DOE experiment SNR ISI comparison ERL

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

CoaXPress HSMC Board Detailed Design Rev.A

CoaXPress HSMC Board Detailed Design Rev.A CoaXPress HSMC Board Detailed Design Rev.A Author: Lennard Streat, Computer Engineering, RIT Multi-Disciplinary Senior Design I RIT Ruggedized Camera Encoder (P14571) 1 P a g e rit-d3-camera-module@googlegroups.com

More information

ASIX USB-to-LAN Applications Layout Guide

ASIX USB-to-LAN Applications Layout Guide ASIX USB-to-LAN Applications Revision 1.0 Dec. 11th, 2007 1 Revision Date Description 1.0 2007/12/11 New release. ASIX USB-to-LAN Applications Revision History 2 Content 1. Introduction...4 2. 4-Layer

More information

ANSYS HFSS: Layout Driven Assembly in ANSYS Electronics Desktop

ANSYS HFSS: Layout Driven Assembly in ANSYS Electronics Desktop Application Brief ANSYS HFSS: Layout Driven Assembly The ANSYS Electronics Desktop (AEDT) is an integrated environment with an easy-to-use interface that provides a streamlined workflow between ANSYS EM

More information

OP-SFP Gbps SFP+ Transceiver

OP-SFP Gbps SFP+ Transceiver 10.3Gbps SFP+ Transceiver Product Description The OP-SFP + -300 series multi-mode transceivers are SFP + module for bi-directional serial optical data communications such as10gbase-sr and 10GBASE-SW. It

More information

CEI-28G-VSR Channel Simulations, Validation, & Next Steps. Nathan Tracy and Mike Fogg May 18, 2010

CEI-28G-VSR Channel Simulations, Validation, & Next Steps. Nathan Tracy and Mike Fogg May 18, 2010 CEI-28G-VSR Channel Simulations, Validation, & Next Steps Nathan Tracy and Mike Fogg May 18, 21 Summary of Contribution Updated information showing Tyco Electronics 25/28Gbps first generation modular interconnect

More information

SFP LC 5G SMF Dual PIN Receiver. Features. Applications. Ordering Information APPLIED OPTOELECTRONICS, INC. A5IL0000XDOA00745

SFP LC 5G SMF Dual PIN Receiver. Features. Applications. Ordering Information APPLIED OPTOELECTRONICS, INC. A5IL0000XDOA00745 Features SFP Type LC Dual Receiver PIN/TIA Receiver 3.3V single power supply Hot pluggable Serial ID information support Digital diagnostic Compliant with RoHS Compliant with UL & TUV Applications 5G Application

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

Chronos Latency - Pole Position Performance

Chronos Latency - Pole Position Performance WHITE PAPER Chronos Latency - Pole Position Performance By G. Rinaldi and M. T. Moreira, Chronos Tech 1 Introduction Modern SoC performance is often limited by the capability to exchange information at

More information

XFP SONET/SDH Single Fiber 10 km transceiver 10G LR SONET OC-192 / STM-64 w/ CDR. Datasheet

XFP SONET/SDH Single Fiber 10 km transceiver 10G LR SONET OC-192 / STM-64 w/ CDR. Datasheet SONET/SDH XFP Optical Transceiver Product Features SONET OC-192 / STM-64 w/ CDR 9 SONET/SDH XFP 10 km LR SONET/SDH XFP for SMF @ 10Gbps 1270Tx-1330Rx DFB+PIN Laser 10 km SONET/SDH XFP 0 C - 70 C Temperature

More information

Technical Feasibility of optical PMDs with RS FEC

Technical Feasibility of optical PMDs with RS FEC Technical Feasibility of optical PMDs with RS FEC Yu Xu, Xinyuan Wang HUAWEI TECHNOLOGIES CO., LTD. IEEE 802.3 50GE & NGOATH Study Group Background and Introduction In 50 Gb/s Ethernet Over a Single Lane

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Trends in Data Centre

Trends in Data Centre Trends in Data Centre The impact on the IT infrastructure Thomas Ko Product Manager Market Situation Standards Update and Topology 40G and 100G Ethernet - Impact on IT Cabling Migration Options with Fiber

More information

Product Specification DataSheet

Product Specification DataSheet Product Specification DataSheet SFP-10G-ER RoHS Compliant 10Gb/s SFP+ 1550nm 40km Optical Transceiver PRODUCT FEATURES Hot pluggable 10Gb/s serial optical interface Up to 40km on 9/125um SMF Compliant

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm HOMEWORK 10 CMPEN 411 Due: 4/28/2016 11:30pm Instruction First, fabrication ready the full 8 bit RISC microprocessor chip: redesign the chip (its components) to fit the entire chip fitted into the 40 pin

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

Chip To Module Twin Axial Cable Channels For 400 Gb/s: Re-examining the Insertion Loss Equation

Chip To Module Twin Axial Cable Channels For 400 Gb/s: Re-examining the Insertion Loss Equation Chip To Module Twin Axial Cable Channels For 400 Gb/s: Re-examining the Insertion Loss Equation Richard Mellitz, Samtec, April 24, 2017 Contributors: Scott McMorrow & Keith Guetig, Samtec IEEE P802.3bs

More information

Channel Based Methods for Signal Integrity Evaluation COMPAL ELECTRONICS, INC. Taipei Server Business Aug 13, 2013

Channel Based Methods for Signal Integrity Evaluation COMPAL ELECTRONICS, INC. Taipei Server Business Aug 13, 2013 Channel Based Methods for Signal Integrity Evaluation COMPAL ELECTRONICS, INC. Taipei Server Business Aug 13, 2013 Agenda Evolution of Signal Integrity Evaluation Review of Industrial Specification Foundation

More information

X2 Single Fiber 10 km transceiver Cisco Compatible 10G LR Ethernet Designed for OEM networks such as Cisco, HP, Juniper, Brocade, Alcatel etc.

X2 Single Fiber 10 km transceiver Cisco Compatible 10G LR Ethernet Designed for OEM networks such as Cisco, HP, Juniper, Brocade, Alcatel etc. Designed for OEM networks such as Cisco, HP, Juniper, Brocade, Alcatel etc. X2 Optical Transceiver Product Features Exclusive Japanese OSAs for Ultimate Reliability 1GBASE-LR/LW Ethernet 9 X2 1 km LR X2

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

Obsolete. Product Specification PE4210. Product Description. SPDT UltraCMOS RF Switch 10 MHz - 3 GHz Features Single 3-volt power supply

Obsolete. Product Specification PE4210. Product Description. SPDT UltraCMOS RF Switch 10 MHz - 3 GHz Features Single 3-volt power supply Product Description The PE421 UltraCMOS RF Switch is designed to cover a broad range of applications from 1 MHz to 3 GHz. This singlesupply switch integrates on-board CMOS control logic driven by a simple,

More information

A novel method to reduce differential crosstalk in a highspeed

A novel method to reduce differential crosstalk in a highspeed DesignCon 5 A novel method to reduce differential crosstalk in a highspeed channel Kunia Aihara, Hirose Electric kaihara@hirose.com Jeremy Buan, Hirose Electric jbuan@hirose.com Adam Nagao, Hirose Electric

More information

for Image Applications

for Image Applications This article presents general information on the LVDS interface hard macro for image applications. * LVDS: Low Voltage Differential Signaling Necessity for High-speed Signal Transmission in Consumer Products

More information

The Missing Piece of Virtualization. I/O Virtualization on 10 Gb Ethernet For Virtualized Data Centers

The Missing Piece of Virtualization. I/O Virtualization on 10 Gb Ethernet For Virtualized Data Centers The Missing Piece of Virtualization I/O Virtualization on 10 Gb Ethernet For Virtualized Data Centers Agenda 10 GbE Adapters Built for Virtualization I/O Throughput: Virtual & Non-Virtual Servers Case

More information

Quad Small Form factor Pluggable Plus (QSFP/QSFP+)

Quad Small Form factor Pluggable Plus (QSFP/QSFP+) Quad Small Form-factor Pluggable Plus (QSFP/QSFP+) Product Description Lightwave Link Inc. Quad Small Form-factor Pluggable (QSFP) product is a new high speed pluggable I/O interface products. This interconnecting

More information

QSFP+ Passive Copper Cable QSFP-40G-0xC

QSFP+ Passive Copper Cable QSFP-40G-0xC QSFP+ Passive Copper Cable QSFP-40G-0xC Features QSFP+ conforms to the Small Form Factor SFF-8436 4-Channel Full-Duplex Passive Copper Cable Transceiver Support for multi-gigabit data rates :1.0 Gbps -

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Optical Interconnection as an IP Macro of COMS LSIs (OIP)

Optical Interconnection as an IP Macro of COMS LSIs (OIP) Optical Interconnection as an IP Macro of COMS LSIs (OIP) Takashi Yoshikawa, Ichiro Hatakeyama, Kazunori Miyoshi, and Kazuhiko Kurata Optical Interconnection NEC Laboratory, RWCP Tomohiro Kudoh, and Hiroaki

More information

High Speed Migration 100G & Beyond

High Speed Migration 100G & Beyond High Speed Migration 100G & Beyond Moses Ngugi Field Application Engineer 5th September 2017 BANDWIDTH GROWTH Mobile Data IP Video Global Cloud IP Traffic Global IP Traffic Cisco CAGR: 50+% CAGR: 35%+

More information

Constraint Driven I/O Planning and Placement for Chip-package Co-design

Constraint Driven I/O Planning and Placement for Chip-package Co-design Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los Angeles Rio Design Automation, Inc. Agenda Motivation

More information

Engineering Challenges in Developing Large Flash Memory System

Engineering Challenges in Developing Large Flash Memory System Engineering Challenges in Developing Large Flash Memory System August 2010 Presented by Kumar Venkatramani Introduction Scalable Modular Case Study of System Design Scalable and Modular Design Image Storage

More information

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TS Diode General Description The is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, USB 3.0, MDDI, SATA, and Gigabit thernet from damaging

More information

A Practical Methodology for SerDes Design

A Practical Methodology for SerDes Design A Practical Methodology for SerDes Design Asian IBIS Summit, Shanghai, China, November 14, 2018 Authors: Amy Zhang, Guohua Wang, David Zhang, Zilwan Mahmod, Anders Ekholm agenda Challenges in Traditional

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information