The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

Size: px
Start display at page:

Download "The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA"

Transcription

1 The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1

2 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions Tool Directions 470Gb/s Prototype 2 2

3 Microprocessor Bandwidth Needs As CPU core count increases, I/O bandwidth (BW) requirements will increase for all segments Current system bandwidth requirements (Y2010) Client BW = ~50GB/s Server BW = ~100GB/s High-end Server BW = ~200GB/s Server Example High-End Server Example 3

4 Microprocessor Bandwidth Trends Bandwidth (GB/s)1000 3X/2yrs. 2X/2yrs Bandwidth Drivers: CPU Memory CPU CPU CPU Peripheral CPU I/O bridge High-end microprocessors are expected to need ~1TB/s during coming decade 4 4

5 Microprocessor I/O Power Current system I/O power efficiency is 20-40pJ/bit System BW I/O Pwr. Eff. I/O Pwr Client ~50GB/s 20pJ/bit 8W Server ~100GB/s 20pJ/bit 16W High-End Server ~200GB/s 20pJ/bit 32W If I/O power efficiency doesn t improve during the next decade, then: 1TB/s x 20pJ/bit = 160W 5

6 I/O Energy Efficiency Trends 100 Energy Eff. (pj/bit) 10-20%/year Ref: R. Palmer, ISSCC Year 2010 Issue: ~20% per year power reduction while bandwidth increasing 40-70% per year 6

7 Energy Efficiency and Channel Loss Tradeoff 100 Energy Eff. (pj/bit) 10 Based on transceivers reported in nm CMOS Channel Symbol rate (db) Power efficiency is strongly correlated to channel loss Simply scaling per-pin BW will not meet power budget Low power interfaces should be wider not faster 7 7

8 Density Channel/Interconnect Density Conventional package/socket density does not scale with process Width of interfaces is limited by routing congestion Time C4 bump area Circuit area Flip-chip Package µp C4 pitch << Pkg. pin pitch 8

9 Problem Statement Summary Bandwidth needs are quickly approaching 1TB/s Energy efficiency is not scaling as aggressively as bandwidth The channel limits our ability to increase per-pin data rate and/or increase the width of an interface 9

10 How Will Electrical I/O scale to 1TB/s? 1. Co-design the interconnects and I/O circuitry to meet bandwidth, scalability and power efficiency demands 2. Scale the channel by transitioning to new channel configurations and materials 3. Use accurate, statistical link design tools to identify balanced architectures. 10

11 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions Tool Directions 470Gb/s Prototype 11 11

12 Power Eff. (mw/gb/s) Low Active Power Techniques Channel Symbol rate (db) Power Optimized Links Simple equalization Low TX swing Sensitive RX sampler Low-power clocking 12 12

13 Minimize analog circuit complexity Lowest power links find ways to simplify equalization and clocking circuitry to reduce power Equalization examples: Constrain equalization range by known channel characteristics Continuous-time linear Rx equalizer RX C PAD L R TERM Ref: G. Balamurugan, JSSC 4/

14 Power Management: Scalable supplies Adapt supply to frequency, process, temperature (f,p,t) Digital: Power V SUPPLY2 f Analog: Power V SUPPLY I bias Removes excess circuit BW and headroom V SUPPLY V REF - + V SUPPLY V REG (f,p,t) VR TX V REG (f,p,t) C REG RX Regulated supply ring VCO Data link with adaptive supply 14 14

15 Power Management: Scalable supplies TX Driver TX Ser/Pre Energy Eff. (pj/bit) TX Clk RXFE RX Clk 0 5Gb/s 0.68V 10Gb/s 0.85V 15Gb/s 1.05V 20Gb/s 1.2V Power efficiency improves with adaptive supply/biasing Refs: G. Balamurugan, JSSC 4/08 and B. Casper, ISSCC

16 Aggressive Power Management Normalized Bandwidth Demand Don t spend power doing nothing! Rapidly adapt to bandwidth demand Requires fast, granular bandwidth adaptation Time 16

17 Aggressive Power Management Conventional (fixed Bandwidth) Wasted Energy Normalized Bandwidth Demand Don t spend power doing nothing! Rapidly adapt to bandwidth demand Requires fast, granular bandwidth adaptation Time 17

18 Aggressive Power Management Conventional (fixed Bandwidth) Energy Savings Normalized Bandwidth Demand Adaptive Bandwidth 0.0 Don t spend power doing nothing! Rapidly adapt to bandwidth demand Requires fast, granular bandwidth adaptation Time 18

19 Device Variation in Scaled CMOS Device manufacturing tolerances are improving but area scaling still causes higher variation Fundamental power/area to variation tradeoff is not acceptable 1 c2 σvt 2 Weff Leff Need circuit architectures that fundamentally change this tradeoff. Ref: K. Kuhn, IEDM

20 Mitigating Device Variation Calibration greatly improves the power/variation tradeoff Receiver offset calibration Duty cycle correction Adaptive equalizers Clock recovery (or deskew) Simple calibration doesn t alleviate all variation issues (e.g. PSRR) + -Voffset Circuit derivatives (gm, ro) are not calibrated by offset calibration PSRR is not calibrated 20 20

21 INL (ps) DNL (ps) Mitigating Device Variation Calibration greatly improves the power/variation tradeoff Receiver offset calibration Duty cycle correction Adaptive equalizers Clock recovery (or deskew) Simple calibration doesn t alleviate all variation issues (e.g. PSRR) Possible solutions: Dynamic calibration (e.g. auto-zero) Redundancy/reconfigurability Better correct by design circuits Coarse Phase gen. Φ 1 Φ N selφ DSM Ref: P. Hanumolu, JSSC 2/08. Φ LPF Φ OUT 21 21

22 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions Tool Directions 470Gb/s Prototype 22 22

23 Channel scaling Circuit innovation alone will probably not be enough to reach the 1TB/s target the channel needs to scale too! Better signal integrity: Improved electrical characteristics mean less power in clocking and equalization Higher density: More lanes allow each lane to operate at lower data rate better power efficiency 23 23

24 Channel vs. Equalization tradeoffs: Backplane example 0dB -20dB S 21-40dB -60dB 5mm Stubbedvia BP Drilledvia BP -80dB 0GHz 5GHz 10GHz 15GHz Ref: B. Casper, CICC

25 Improve channel signal integrity 0dB 19 Flex -20dB S 21-40dB -60dB 5mm Stubbedvia BP Drilledvia BP -80dB 0GHz 5GHz 10GHz 15GHz CPU Socket Flex Connector 19 Flex Cable 25 25

26 Approx. Contact/Routing Pitch (µm) High density channels 1000 Contact pitch Routing pitch

27 Approx. Contact/Routing Pitch (µm) High density channels 1000 Contact pitch Routing pitch

28 Approx. Contact/Routing Pitch (µm) High density channels 1000 Contact pitch Routing pitch

29 Approx. Contact/Routing Pitch (µm) High density channels 1000 Contact pitch Routing pitch

30 Approx. Contact/Routing Pitch (µm) High density channels 1000 Contact pitch Routing pitch

31 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions Tool Directions 470Gb/s Prototype 31 31

32 What is the Right Link Architecture? TX RX Clock Jitter? Signal Swing? Equalization? ISI? Xtalk? Modulation (PAM)? Data Rate? Interface width? Clock Jitter? Sensitivity? Equalization? Designers need the ability to quickly and accurately compare architecture options 32 32

33 Empirical Approach Simulate system with random data This doesn t provide adequate accuracy (BER<10-12 ) 33 33

34 Full System Statistical Analysis Channel & co-channel responses RX sampling jitter TX jitter Statistical Signaling Analysis Equalization Modulation RX input referred noise Specify high-level architecture and block characteristics Enables fast evaluation of link sensitivities 34 34

35 Max. Data Rate (BER=10-12 ) Maximum Data Rate Comparison: Backplane vs. Flex 45Gb/s Flex 30Gb/s 15Gb/s Drilled-via BP Stubbed-via BP TX FIR taps DFE taps Statistical system analysis provides designers with real performance tradeoffs and brick walls 35 35

36 Max. Data Rate (BER=10-12 ) Maximum Data Rate Comparison: Backplane vs. Flex 45Gb/s Flex 30Gb/s 15Gb/s Drilled-via BP Stubbed-via BP TX FIR taps DFE taps Statistical system analysis provides designers with real performance tradeoffs and brick walls 36 36

37 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions Tool Directions 470Gb/s Prototype 37 37

38 47x10Gb/s, 1.4pJ/bit Interface (45nm CMOS) IL-VCO diea dieb IL-VCO txbundle_a[1] txbundle_a[0] rxbundle_a[2] rxbundle_a[1] rxbundle_a[0] fclk_a 9 10 fclk_b rxbundle_b[1] rxbundle_b[0] txbundle_b[2] txbundle_b[1] txbundle_b[0] 38 38

39 Bundled Architecture Conventional: Independent clocking clk data Deskew Deskew Deskew Deskew Deskew RX sampler RX sampler RX sampler RX sampler RX sampler 39

40 Bundled Architecture Clocking innovation Bundle clocking Conventional: Independent clocking clk Deskew data RX sampler clk Deskew Deskew Deskew Deskew RX sampler RX sampler RX sampler RX sampler Bundle Deskew 40

41 Bundled Architecture Clocking innovation Bundle clocking Conventional: Independent clocking Optimized: Bundle clocking clk data clk data Deskew RX sampler RX sampler Deskew Deskew RX sampler RX sampler Bundle Deskew RX sampler RX sampler Deskew RX sampler RX sampler Deskew RX sampler RX sampler 41 Bundled clocking reduces I/O power

42 Probability of correct seeding Fast RX Power States 1 rxbundle_b[0] rxlane[9:0] Wake-up time [ns] RX bundle power reduced by 93% in standby All RX lanes return to reliable operation in <5ns 42

43 Silicon Area Compression Conventional: I/O floor plan Power Ground I/O layout I/O signals 43

44 Silicon Area Compression Floor plan optimization minimize I/O area Conventional: I/O floor plan Power Ground I/O layout I/O signals 44 I/O circuitry

45 Silicon Area Compression Floor plan optimization minimize I/O area Conventional: I/O floor plan Power Ground Optimized: Bundle layout I/O layout I/O signals 45 I/O circuitry

46 1302µm Lane[9:5] 10 TL pairs Interface Floorplan IL-VCO + Drv Active I/O circuitry Lane[4:0] txbundle_a[1] txbundle_a[0] rxbundle_a[2] rxbundle_a[1] rxbundle_a[0] 2864µm Die edge Active circuit area is reduced with TL routing. 46

47 Interface Configuration 500µm LGA connector diea HDI/Flex bridge 5 signals/mm dieb Microstrip Stripline1 Stripline2 Package Socket PCB Within-bundle lanes matched to <100µm Dense LGA connector minimizes breakout area Bundles share the same routing layer 2X density on stripline layers due to reduced Xtalk 47

48 Silicon and Interconnect Prototypes 0.5m flex interconnect 3m twinax cable 48

49 Electrical Interconnect Scaling Challenges 100 Power Eff. (pj/bit) 10 This work: 45nm CMOS data rate Channel Symbol rate (db) (Based on transceivers reported in nm CMOS) 49

50 Power Efficiency (pj/bit) I/O Power Efficiency Measurements Link data rate = 10Gb/s Channel Length (cm) HDI * LCP flex 32AWG - twinax *high density interconnect (HDI) 50

51 Summary Bandwidth needs are quickly approaching 1TB/s Extending electrical I/O to 1TB/s requires balance between power, data rate, density and cost Evaluate alternate channel configurations and materials Recent results indicate that electrical will be up to the task for in-box I/O 51 51

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver D. Dunwell and A. Chan Carusone University of Toronto Analog Front End Adaptation Analog Front-End (AFE) Digital

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004 ATCA Platform Considerations for Backplane Ethernet Aniruddha Kundu Michael Altmann Intel Corporation May 2004 IEEE 802.3ap Back Plane Ethernet TF Interim meeting May 2004 1 Introduction This presentation

More information

5 GT/s and 8 GT/s PCIe Compared

5 GT/s and 8 GT/s PCIe Compared 5 GT/s and 8 GT/s PCIe Compared Bent Hessen-Schmidt SyntheSys Research, Inc. Copyright 2008, PCI-SIG, All Rights Reserved 1 Disclaimer The material included in this presentation reflects current thinking

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors

Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors Bruce Doyle, Alvin Loke, Sanjeev Maheshwari, Charles Wang, Dennis Fischette, Jeffrey Cooper, Sanjeev Aggarwal, Tin Tin Wee,

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues relevant to high-speed

More information

PCI Express Electrical Basics

PCI Express Electrical Basics PCI Express Electrical Basics Dean Gonzales Advanced Micro Devices Copyright 2015, PCI-SIG, All Rights Reserved 1 Topics PCI Express Overview Enhancements for 8GT/s Target Channels for the Specification

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System MDI for 4x25G Copper and Fiber Optic IO Quadra (CFP4 proposal) Connector System Nov 7, 2011 Nathan Tracy, TE Connectivity Tom Palkert, Molex 4x25Gb/s MDI Potential Requirements Critical Needs: Excellent

More information

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1] Area Array Probe Card Interposer Raphael Robertazzi IBM Research 6/4/01 6/4/01 IBM RESEARCH Page [1] Motivation: Outline Probe Cards for Testing Complex ICs in the Developmental Stage. Hand Wired Space

More information

Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control

Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control Wolfgang Eberle, PhD IMEC Bioelectronic Systems Bridging software and analog/rf Software defined

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Raj Kumar Nagpal, R&D Manager Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Agenda Design motivation MIPI D-PHY evolution Summary of MIPI D-PHY specification MIPI channel evolution

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

A 1.5GHz Third Generation Itanium Processor

A 1.5GHz Third Generation Itanium Processor A 1.5GHz Third Generation Itanium Processor Jason Stinson, Stefan Rusu Intel Corporation, Santa Clara, CA 1 Outline Processor highlights Process technology details Itanium processor evolution Block diagram

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, 2006 Sr. Principal Engineer Panel Questions How do we build scalable networks that balance power, reliability and performance

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

10GBASE-KR for 40G Backplane

10GBASE-KR for 40G Backplane 1GBASE-KR for 4G Backplane Nov 7 Technology Hiroshi Takatori Hiroshi.Takatori@.us 1 Outline This contribution discusses, - Performance based on 1GBASE-KR Std - Theoretical Limit (Saltz SNR) and Time Domain

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY Consideration for Advancing Technology in Computer System Packaging Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY IEEE Distinguished Lecture Series 2014 Motivation Modern Computing is driven by

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

100GEL C2M Channel Analysis Update

100GEL C2M Channel Analysis Update 100GEL C2M Channel Analysis Update Jane Lim, Cisco Pirooz Tooyserkani, Cisco Upen Reddy Kareti, Cisco Joel Goergen, Cisco Marco Mazzini, Cisco 9/5/2018 IEEE P802.3ck 100Gb/s, 200Gb/s, and 400Gb/s Electrical

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Proposal for modeling advanced SERDES

Proposal for modeling advanced SERDES Proposal for modeling advanced SERDES IBM, Cadence June 2006 1 CADENCE DESIGN SYSTEMS, INC. Presenters, Contributors Presenters / Contributors 1. Joe Abler IBM Systems & Technology Group High Speed Serial

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

Technical Note. GDDR6: The Next-Generation Graphics DRAM. Introduction. TN-ED-03: GDDR6: The Next-Generation Graphics DRAM.

Technical Note. GDDR6: The Next-Generation Graphics DRAM. Introduction. TN-ED-03: GDDR6: The Next-Generation Graphics DRAM. Technical Note GDDR6: The Next-Generation Graphics DRAM TN-ED-03: GDDR6: The Next-Generation Graphics DRAM Introduction Introduction Since its market introduction in 2015, GDDR5X has been the world's fastest

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

The Phase-2 ATLAS ITk Pixel Upgrade

The Phase-2 ATLAS ITk Pixel Upgrade The Phase-2 ATLAS ITk Pixel Upgrade T. Flick (University of Wuppertal) - on behalf of the ATLAS collaboration 14th Topical Seminar on Innovative Particle and Radiation Detectors () 03.-06. October 2016

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

OIF CEI-56G Project Activity

OIF CEI-56G Project Activity OIF CEI-56G Project Activity Progress and Challenges for Next Generation 400G Electrical Links David R Stauffer Kandou Bus, SA OIF Physical & Link Layer Working Group Chair June 12, 2014 Electrical Implementation

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers - Transmitter Testing - Receiver Testing - Link Equalization Testing David Li Product Marketing Manager High Speed

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing 400G The Wave of the Future Michael G. Furlong - Senior Director, Product Marketing mfurlong@inphi.com ECOC 2017 100G is Ramping in the Cloud 100G Now Shipping (~2H2016) Numerous Market Reports Millions

More information

Storage/Control I/O Module

Storage/Control I/O Module CHAPTER 4 The performs two functions: to connect outside interfaces to the system controller and to house the hard disk drive. It plugs into the back of the VCO/4K system and provides the I/O interfaces

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary

Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary Physical Aspects of Packages for 100GEL & PKG ad-hoc Physical Aspects Summary Liav Ben Artsi, Marvell Israel Ltd. September 2018 Suggested PKG Model Cases Length Ball Side Discontinuity Xtalk (Suggest

More information

100G Signaling Options over Backplane Classes

100G Signaling Options over Backplane Classes 100G Signaling Options over Backplane Classes IEEE P802.3bj January 2012 Newport Beach FutureWei Hiroshi Takatori Hiroshi.Takatori@huawei.com Contributors and Supporters Albert Vareljian Sanjay Kasturia,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

1. NoCs: What s the point?

1. NoCs: What s the point? 1. Nos: What s the point? What is the role of networks-on-chip in future many-core systems? What topologies are most promising for performance? What about for energy scaling? How heavily utilized are Nos

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1 Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and

More information

Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes

Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes By Eric Naviasky, Cadence Design Systems Designing a high-speed, high-performance serializer/deserializer (SerDes) for advanced

More information

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Andy Turudic Sr. Manager, High-End FPGAs Altera aturudic@altera.com Amolak Badesha Field Applications Engineer - Agilent 2008 Altera Corporation

More information

100Gb/s Backplane/PCB Ethernet Two Channel Model and Two PHY Proposal

100Gb/s Backplane/PCB Ethernet Two Channel Model and Two PHY Proposal 100Gb/s Backplane/PCB Ethernet Two Channel Model and Two PHY Proposal IEEE P802.3bj 100Gb/s Backplane and Copper Cable Task Force Newport Beach Rich Mellitz, Intel Corporation Kent Lusted, Intel Corporation

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Transmitter testing for MMF PMDs

Transmitter testing for MMF PMDs Transmitter testing for MMF PMDs IEEE P802.3cd, San Diego, July 2016 Jonathan Ingham Foxconn Interconnect Technology Supporters Will Bliss (Broadcom) Ali Ghiasi (Ghiasi Quantum) Vasu Parthasarathy (Broadcom)

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Race to Exascale: Opportunities and Challenges. Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation

Race to Exascale: Opportunities and Challenges. Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation Race to Exascale: Opportunities and Challenges Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation Exascale Goal: 1-ExaFlops (10 18 ) within 20 MW by 2018 1 ZFlops 100 EFlops 10 EFlops

More information

Agenda TDR Measurements Using Real World Products

Agenda TDR Measurements Using Real World Products Agenda TDR Measurements Using Real World Products The Case for using both TDR and S-parameters Device Package Analysis - Measure Impedance -C-self Characterizing Device Evaluation Test board Measure Differential

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Lost in the Bermuda Triangle: Energy, Complexity, and Performance. Dennis Abts Cray Inc.

Lost in the Bermuda Triangle: Energy, Complexity, and Performance. Dennis Abts Cray Inc. Lost in the Bermuda Triangle: Energy, Complexity, and Performance Dennis Abts Cray Inc. Exploring Uncharted Waters 1. what does complexity mean to you? 2. What takes the most time to verify in your designs?

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches Ken K. -Y. Chang, William Ellersick, Shang-Tse Chuang, Stefanos Sidiropoulos, Mark Horowitz, Nick McKeown: Computer System Laboratory,

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit Re-Configurable 6 Output PECL TCXO Fixed & Re-Configurable Multi-Frequency Oscillator Intuitive software and I 2 C interface Easily update system Industry-standard packaging saves on board space Mult.

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Enabling MIPI Physical Layer Test

Enabling MIPI Physical Layer Test Enabling MIPI Physical Layer Test High Speed Test and Characterization High Speed Digital Test The Explosion of Functions within Mobile Devices Multiple RF functions GPS Bluetooth WCDMA GSM WLAN FM Multiple

More information

3SM201KMT1KB MEMS Microphone

3SM201KMT1KB MEMS Microphone MEMS Microphone Product Description The is a monolithic MEMS top performing miniature digital microphone based on CMOS foundry process. By integrating an acoustic transducer and an analog amplifier circuit

More information

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Douglas Burns Barry Katz Walter Katz Mike Steinberger Todd Westerhoff Signal Integrity Software, Inc. (SiSoft) Maynard, MA, USA www.sisoft.com

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Part 1 of 3 -Understand the hardware components of computer systems

Part 1 of 3 -Understand the hardware components of computer systems Part 1 of 3 -Understand the hardware components of computer systems The main circuit board, the motherboard provides the base to which a number of other hardware devices are connected. Devices that connect

More information

Homework 6: Printed Circuit Board Layout Design Narrative

Homework 6: Printed Circuit Board Layout Design Narrative Homework 6: Printed Circuit Board Layout Design Narrative Team Code Name: Home Kinection Group No. 1 Team Member Completing This Homework: Stephen Larew E-mail Address of Team Member: sglarew @ purdue.edu

More information

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing Embedded Tech Trends 2014 New EW architectures based on tight coupling of and CPU processing 1 Sensors to Parallel Processing to Wide-Area Networks RF Sampling behind antenna LVDS capture Processing DMA

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

CARDINAL COMPONENTS. Specifications: Min Typ Max Unit

CARDINAL COMPONENTS. Specifications: Min Typ Max Unit Re-Configurable 6 Output CMOS TCXO Fixed & Re-Configurable Multi-Frequency Oscillator Intuitive software and I 2 C interface Easily update system Software flexible, quick upgrades and changes Industry-standard

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

Achieving PCI Express Compliance Faster

Achieving PCI Express Compliance Faster Achieving PCI Express Compliance Faster Agenda PCIe Overview including what s new with Gen4 PCIe Transmitter Testing PCIe Receiver Testing Intro to Tektronix s PCIe Tx and Rx Test Solution PCIe Market

More information