Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Size: px
Start display at page:

Download "Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing"

Transcription

1 Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx

2 Agenda Xilinx Business Drivers All in at 28nm & Delivering! 2.5-D & 3-D IC Case Study Summary

3 Xilinx Business Drivers Programmable Imperative Relentless Systems Integration Insatiable Intelligent Bandwidth

4 The Programmable Imperative Accelerates Estimated Chip Design Cost, by Process Node, Worldwide, /22-nm 32-nm 45-nm Design cost ($M) Mask cost ($M) Embedded software ($M) Yield ramp-up cost ($M) 65-nm 90-nm 130-nm 28nm = 2X 45nm Cost > $170 M 180-nm ($ Million) Extreme Costs Limit ASIC & ASSP Viability at 28nm Source: Gartner Page 4

5 Insatiable Bandwidth and Spending We Will Soon Live in a 100 Gbps World By Stacey Higginbotham I Feb.22, 2011, 8:21 PT I 14 Comments China s big data center build-out Sprint announces aggressive LTE 4G rollout for mid-2012 Docomo to ramp network spending following outage France Telecom Orange to increase fiber network spending in 2012 Source: EETimes, Light Reading, Gizmodo. Page 5

6 Trends Driving Insatiable Intelligent Bandwidth Extreme Bandwidth Smart Vision Lane Detection 5X Growth in 5 Years Ubiquitous Computing Embedded Security Everyware The 3 rd Wave in Computing Is It Safe? Source: Ericsson, Adam Greenfield. Page 6

7 The Chameleon Chip: Xilinx FPGAs At the forefront of industry innovation at each process node Page 7

8 Xilinx: All in at 28nm First to Tape Out & Deliver Silicon at 28nm Outstanding Partnership with TSMC Pioneering 3-D IC Technology Leading Edge Processing Sub-systems System to IC Tools & IP to Enable Silicon From Programmable Logic to Programmable System Integration Page 8

9 Delivering Today! Virtex-7 SSIT Test Chip 2010 Virtex-7 500T slice for 7V2000T taped out 4/15/11 7K325T tape out for GS on 7/30/11 7K325T taped out December 10, st in the industry 1st Virtex-7 7VX485T taped out 2/28/11 7K480T taped out 4/27/11 Zynq 1 st EPP tape out 8/10/11 Page 9

10 2.5-D & 3-D IC Case Study Copyright 2011 Xilinx

11 The First Wave of 3-D ICs Perfecting the 3-D chip R. Colin Johnson 10/11/ :31 AM EDT You ve heard the hype: The foundation of semiconductor fabrication will be transformed over the next few years as multistory structures rise up from dice that today are planar. After almost a decade of major semiconductor engineering efforts worldwide aimed at making the structures manufacturable, three-dimensional ICs are poised for commercialization starting next year several years behind schedule.

12 Why Now? Connectivity Capacity Crossovers Logic RAM Package Substrate Page 12

13 BW / Watt Connectivity: Enables High Bandwidth, Low Power Die-to-Die Communication 100x 3D Interconnect 10x SerDes & Standard I/O 1x 10x 100x 1,000x Total Die-to-Die Connections 100x bandwidth/watt advantage over conventional methods Page 13

14 Capacity Beyond Moore s Law Big Single Monolithic Die Multiple Small Die Slices Greater capacity, faster yield ramp Page 14

15 Crossover SoCs with Heterogeneous Die Logic Memory PLD Mixed functions Analog Memory Processor Mixed processes Page 15

16 The Progression of 3D Technology Traditional MCM/PCB Silicon Interposer 2.5D Full 3D Analog RF Passive Logic Memory Flipchip + wire bond 2.5D side-by-side integration with TSVs & silicon interposer Vertical stacking with memory & logic Source: TSMC Page 16

17 Technical Challenges Posed by 3D Active Active 3D Active on Active Vertical Die Stacking RAM Logic Package Substrate Microbump / TSV Thermal TSV-Induced Device Stress corner center Page 17

18 3D versus 2.5D 3D 2.5D Design Flow New Co-Design Evolutionary Testing New Methods Evolutionary Cost High 65nm Interposer Thermal Challenging Evolutionary Device Impact Stress None Reliability Challenging Evolutionary

19 Why FPGA? Technology Column based ASMBL Architecture Large Die Integration Rich Uniform Programmable Interconnect Tens of Thousands of Microbumps Testability Application Domain Telecom 400Gb Ethernet Wide Data path Packet Processing Highly Parallel DSP processing Highest IO BW (1Terabit/sec by 2014) Growing LC capacity (2 M Logic Cells)

20 Harnesses Proven Technology in a Unique Way Passive Silicon Interposer (65nm Generation) 4 conventional metal layers connect micro bumps & TSVs No transistors means low risk and no TSV induced performance degradation Microbumps Access to power / ground / IOs Access to logic regions Leverages ubiquitous image sensor Through-silicon micro-bump technology Vias (TSV) Bridge power / ground / IOs to C4 bumps Coarse pitch, low density aids manufacturability Etch process (not laser drilled) Side-by-Side Die Layout Minimal heat flux issues Minimal design tool flow impact 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice 28nm FPGA Slice Microbumps Silicon Interposer Through-Silicon Vias Package Substrate C4 Bumps BGA Balls Page 20

21 Column-based ASMBL Architecture ASMBLoptimized FPGA slice FPGA Slices Side-by-Side Segmented Routing High Yields Early Silicon Interposer: Silicon Interposer > 10K routing connections between slices ~ 1ns latency Page 21

22 Advantages vs. Large Monolithic FPGAs Capacity and Bandwidth and Power 20W 980K Monolithic FPGA 8W 20W 980K Monolithic FPGA 8W 8W 2 million logic cells 4-layer metal Si interposer with TSV >10,000 inter-die connections 980K Monolithic FPGA 20W 8W 980K Monolithic FPGA 20W 1 1 Virtex T = = 191.5TMACs 2M Watts LC 2 4 Largest Monolithic FPGAs 1.9M 1.2TMACs 112 LE Watts Bandwidth Capacity Power No Equivalent Page 22

23 Virtex-7 HT: Heterogeneous 2.5D Top View Cross Section TSVs 28G SerDes Fabric Interface 13G FPGA 13G 28G FPGA FPGA FPGA 28G Passive Interposers 13G FPGA 13G Yield optimized 13G FPGA 13G Noise isolation 28G SerDes Passive Interposer 2.8Tb/s ~3X Monolithic 16 x 28G Transceivers 72 x 13G Transceivers 650 GPIO 28G process optimized for performance FPGA process optimized for power Page 23

24 Eye Comparison: 2.5D vs. Monolithic 2.5D Virtex-7 28Gbps Other Monolithic 25Gbps Parameter Virtex-7 HT Other Monolithic FPGA Data Rate 28Gb/s 25 Gb/s Data Pattern PRBS31 PRBS7 Eye Opening >2X more Less than ½ Signal Quality Clean Jitter Noisy Page 24

25 Evolutionary Technology SSI Package Standard Monolithic Flip Chip Lid Standard (Cu Ni Plating) Standard (Cu-Ni Plating) TIM Standard (Silicone) Standard (Silicone) ubump Cu Post + Lead free Solder NA Chip to interposer underfill Capillary UF NA Interposer 65 nm Si Technology NA C4 Bump SnPb SnPb C4 Underfill Capillary UF Capillary UF Package substrate Standard (low-cte Core) Standard Page 25

26 The Xilinx 2.5-D Supply Chain FPGA, Interposer, & Package Design 28nm FPGA & Interposer Package Substrate IBIDEN Bump, Die separation CoC attach, & Assembly Final Test of Packaged Part Page 26

27 Summary Staying at the leading edge is not for everyone Close collaboration with the supply chain is a must 3D ICs are here! Significantly changing the semiconductor landscape Challenges remain Technical and business-related 2.5D is here to stay An important & lower risk path Page 27

28 Follow Xilinx facebook.com/xilinxinc twitter.com/#!/xilinxinc youtube.com/xilinxinc

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Moving a Generation Ahead with

Moving a Generation Ahead with Moving a Generation Ahead with All Programmable FPGAs, SoCs, and 3D ICs At the 28nm node, Xilinx introduced several new technologies that created an extra generation of value for customers and moved Xilinx

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

3DIC & TSV interconnects business update

3DIC & TSV interconnects business update 3DIC & TSV interconnects business update ASET presentation. Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Fields of Expertise Yole Developpement

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology Chapter 2 Three-Dimensional Integration: A More Than Moore Technology Abstract Three-dimensional integrated circuits (3D-ICs), which contain multiple layers of active devices, have the potential to dramatically

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

Industry s Highest Bandwidth FPGA Enables World s First Single-FPGA Solution for 400G Communications Line Cards

Industry s Highest Bandwidth FPGA Enables World s First Single-FPGA Solution for 400G Communications Line Cards White Paper: Virtex-7 Family WP385 (v1.0) November 17, 2010 Industry s Highest Bandwidth FPGA Enables Wld s First Single-FPGA Solution f 400G Communications Line Cards By: Greg Lara To address the insatiable

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc.

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc. Power Considerations in High Performance FPGAs Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Trends and opportunities The programmable factor 4 focus areas for power consideration Silicon

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Quilt Packaging For Power Electronics

Quilt Packaging For Power Electronics Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency

White Paper. Samsung V-NAND. Yield more capacity, performance and power efficiency White Paper Samsung V-NAND Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction There continues to

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc.

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc. Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Impact of Technology Node Adoption Programmability & FPGA Expanding Application Space Review

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

QuiXilica V5 Architecture

QuiXilica V5 Architecture QuiXilica V5 Architecture: The High Performance Sensor I/O Processing Solution for the Latest Generation and Beyond Andrew Reddig President, CTO TEK Microsystems, Inc. Military sensor data processing applications

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck BE FIRST IN THE DATA-DRIVEN RACE How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck Hyperscale datacenter is all about ramping up quickly, gracefully and cost-effectively. So, it s ironic

More information

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Stacking Untested Wafers to Improve Yield. The 3D Enigma Stacking Untested Wafers to Improve Yield or 3D: Where the Timid Go to Die The 3D Enigma The Promise High Performance Low Power Improved Density More than Moore or at least as much as Moore The Reality

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera Thermal Management of Mobile Electronics: A Case Study in Densification Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi Tessera MEPTEC Thermal Symposium: The Heat is On February 2007 Outline Trends in mobile

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information