BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Size: px
Start display at page:

Download "BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A"

Transcription

1 BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A NICOLAS WILLIAMS, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS JEFF MILLER, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS A M S D E S I G N A N D V E R I F I C A T I O N W H I T E P A P E R w w w. m e n t o r. c o m

2 INTRODUCTION With Verilog-A, designers can be more productive and accurate. Verilog-A allows you to enhance your simulations so that you can: Perform behavioral modeling for faster runtimes and early system level simulations. Conduct special checks and measurements such as safe operating area checks. Create complex input stimuli. Create models of non-standard devices such as MEMS, image sensors, or TFTs. BEHAVIORAL MODELING Analog design traditionally uses a bottom up design methodology. This approach can result in costly extra effort if system integration issues are found late in the design cycle. Benefits: Run system level simulations early in the design cycle to find integration issues and minimize redesigns late in the design cycle Perform special measurements such as DNL, INL, and relative settling time Run Safe Operating Area checks during simulation, including whether a voltage is in range or if a device is operating in the specified region Mix and match device level and behavioral representations of the blocks for faster run times Easily create complex input stimuli such as random signals, pseudorandom bit streams, and signals that are clipped, non-linearly compressed, or sampled Create your own models for unusual devices such as TFTs, Image Sensors, Solar Cells, Thyristors, or MEMS devices using a simple and efficient language for describing device behavior Figure 1: Traditional bottom up methodology in analog design can result in costly extra effort. 2 of 10

3 Verilog-A enables a top down approach to circuit design that encourages early system level simulations to verify interface and integration issues. The designer creates behavioral models for each major block of the design and then starts performing system level simulations to make sure blocks will interface correctly. After system level design is complete, the individual blocks are designed at the device level. Then, each block s behavioral model is calibrated based on the detailed design. The calibrated behavioral models can be used as initial models for system level simulation of the next design. Figure 2: Verilog-A enables top down design and helps verify interface and integration issues at an early stage. Another reason to use Verilog-A for creating behavioral models is to allow co-simulation between behavioral blocks and device level analog netlists to speed up simulations and shorten design cycles. When designing a PLL, you can mix and match behavioral and device level representations of the blocks to achieve the accuracy and runtimes you need. Figure 3: PLL block diagram. 3 of 10

4 Below is a table of simulation runtimes for a PLL where each block has a behavioral and device level representation. The simulation runtimes were measured with different combinations of block representations. This allows designers to focus on block level design while still being able to perform system level simulations without lengthy runtimes. Figure 4: PLL simulation runtimes. Designers can quickly create complex behavioral models by using either mathematical equations or transfer functions. You can create an FM modulator with just three lines of code. Figure 5: Verilog-A FM modulation code; FM modulation of a digital signal. Verilog-A is especially helpful in modeling mixed signal blocks. A quadrature phase-shift keying (QPSK) modulator can be constructed in about 10 lines of code and runs more than 20 times faster than a full SPICE representation. 4 of 10

5 Figure 6: QPSK modulation of a digital signal; Verilog-A QPSK modulator code. 5 of 10

6 You can easily specify transfer functions in both the s domain and z domain using the laplace_xx and zi_xx functions in Verilog A. A digital 4th order low pass 3-db ripple Chebyshev filter can be described with one line of code. Figure 7: Verilog-A code for a 4th order low pass 3-db ripple Chebyshev filter; input and output signals for a 4th order low pass 3-db ripple Chebyshev filter. 6 of 10

7 SAFE OPERATING AREA Verilog-A also expands the toolbox of your designers, allowing them to catch design errors much earlier in the design cycle. With Verilog-A, you can perform special checks such as Safe Operating Area (SOA) checks. You can monitor voltages during simulations and issue warnings if a node s or pin s voltage is out of safe operating range. This is useful in making sure the input voltage to an ADC is within the range it can convert. You can even check if a voltage goes above a specific voltage for more than a specific time. Monitoring voltages is helpful when you are making sure the gate to source voltage stays in the range that the model is valid. Figure 8: Simulation results of voltage exceeding a specific limit. Designers can also set the operating region for specific MOSFETs and use Verilog-A to warn if these devices are not operating in the specified region such as the linear or saturation region. The compact models with Verilog-A can be modified to include any range of SOA checks. SPECIAL MEASUREMENTS Designers often need to perform complex measurements that are not possible using the.measure command in SPICE. Verilog-A allows you to measure very complex circuit character istics, including differential and integral nonlinearity (DNL /INL) for ADC and DACs, relative settling time if you don t know the ending steady state value, and jitter measurements for PLLs. 7 of 10

8 COMPLEX INPUT STIMULI Figure 9: Relative settling time measurement result. You can easily create an input stimulus that would be difficult or impossible to create with SPICE. You can inject a random signal into the circuit and check how well the design responds to noise. Figure 10: SPICE deck & Verilog-A code to add random noise to signal. 8 of 10

9 Figure 11: Signals with random noise. You can generate a pseudo-random bit stream, or signals that are clipped or have been nonlinearly compressed. You can also create sampled data based on different sampling rates or schemes. Figure 12: The main signal and different sampled results using Verilog-A. 9 of 10

10 MODEL DEVELOPMENT If you need to create your own models for an exotic device such as a TFT, solar cell, Thyristor, LDMOS, Image Sensor, or MEMS device, then Verilog-A gives you an efficient language for describing device behavior. Many standard models such as EKV 3.0, BSIM3.3, and BSIM3.4 are already available in Verilog-A format. You can modify and extend existing models such as diode models to include ESD and snapback effects. Some foundries will be soon shipping Verilog-A models for non-standard devices that do not simulate well as subcircuits using combinations of SPICE built-in devices. Verilog A provides a standard, high level language for the modeling of device behavior which is portable across simulators. For the latest product information, call us or visit: w w w. m e n t o r. c o m 2015 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC 7-15 TECH13140-w

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

PSpice Analog and mixed signal simulation

PSpice Analog and mixed signal simulation PSpice Analog and mixed signal simulation You can count on PSpice for accurate circuit simulation results and regular innovations. PSpice has been tried and proven by thousands of engineers. Since the

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

Programmable Electrical Rule Checking (PERC)

Programmable Electrical Rule Checking (PERC) AppNote 10655 Programmable Electrical Rule Checking (PERC) By: Dina Medhat Last Modified: 28-Oct-2008 Copyright Mentor Graphics Corporation 1995-2008. All rights reserved. This document contains information

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

EE 435. Lecture 27. Data Converters. INL of DAC and ADC Differential Nonlinearity Spectral Performance

EE 435. Lecture 27. Data Converters. INL of DAC and ADC Differential Nonlinearity Spectral Performance EE 435 Lecture 27 Data Converters INL of DAC and ADC Differential Nonlinearity Spectral Performance . Review from last lecture. Data Converter Architectures Many more data converter architectures have

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I ECE 587 Hardware/Software Co-Design Spring 2018 1/23 ECE 587 Hardware/Software Co-Design Lecture 11 Verification I Professor Jia Wang Department of Electrical and Computer Engineering Illinois Institute

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Some of the above changes have been made to accommodate Windows Vista User Access Control which write protects the Program Files tree.

Some of the above changes have been made to accommodate Windows Vista User Access Control which write protects the Program Files tree. RELEASE NOTES SIMETRIX 5.4 NOTES This document describes the new features and changes for version 5.4. L ICENSING If you have current maintenance, you should already have been issued with a license file

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions SiMKit Release Notes for SiMKit version 2.5 First Edition NXP Semiconductors DMS/Tool and Flow Solutions Eindhoven, April 2007 This document is provided without warranty of any kind, either expressed or

More information

8-Bit to 16-Bit, 40MSPS to 500MSPS ADC Evaluation System

8-Bit to 16-Bit, 40MSPS to 500MSPS ADC Evaluation System Author: David Carr 8-Bit to 16-Bit, 40MSPS to 500MSPS ADC Evaluation System The Intersil KMB001 evaluation system allows users to evaluate the Intersil portfolio of low-power, 8-bit to 16-bit, high-performance

More information

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS L I B R A R Y M A N A G E M E N T W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Accurate and

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Compact Model Council

Compact Model Council Compact Model Council Keith Green (TI) Chair Peter Lee (Elpida) Vice Chair 1 History and Purpose The CMC was formed in 1996 as a collaboration of foundries, fabless companies, IDMs and EDA vendors Foundry

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING

PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING 9 PRACTICAL DESIGN TECHNIQUES FOR SENSOR SIGNAL CONDITIONING 1 Introduction 2 Bridge Circuits 3 Amplifiers for Signal Conditioning 4 Strain, Force, Pressure, and Flow Measurements 5 High Impedance Sensors

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

Shown with terminal block Supports diagnostic point fault contacts in the logic program

Shown with terminal block Supports diagnostic point fault contacts in the logic program GFK-2482F PACSystems* RX3i Isolated Analog Input Modules, IC695ALG106-EA, IC695ALG112-EA Product Description Isolated Analog Voltage/Current Input module IC695ALG106 provides 6 isolated input channels.

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Isolated Current Output 5B39 FEATURES APPLICATIONS PRODUCT OVERVIEW

Isolated Current Output 5B39 FEATURES APPLICATIONS PRODUCT OVERVIEW Isolated Current Output 5B39 FEATURES Converts a High-level analog input voltage into a floating proportional output current. Output current of 4 to 20 ma or 0 to 20 ma across loads from 0Ω to 750Ω. High

More information

Using SIMetrix/SIMPLIS in Altium Designer

Using SIMetrix/SIMPLIS in Altium Designer Using SIMetrix SIMPLIS Circuit Simulation Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Catena Software's SIMetrix/SIMPLIS is a popular Circuit Simulation package. SIMetrix/SIMPLIS

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

5. Delta-Sigma Modulators for ADC

5. Delta-Sigma Modulators for ADC Basics Architectures SC Modeling Assisted Low-Power 1/46 5. Delta-Sigma Modulators for ADC Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat

More information

Gerhard Noessing, Villach

Gerhard Noessing, Villach Gerhard Noessing, Villach AGENDA Frequency Domain simulation Matlab or SystemC-AMS? Noise simulation with SystemC-AMS Compare Time Domain with Frequency Domain Simulation Simulation Results Conclusion

More information

Index. A a (atto) 154 above event 120, 207 restrictions 178

Index. A a (atto) 154 above event 120, 207 restrictions 178 Symbols! (negation) 174!= (inequality) 174!== (not identical) 174 # delay 166, 216 not in analog process 196 $abstime 83, 175 $bound_step 77, 190 $discontinuity 69, 79, 80, 191 $display 192 $driver_...

More information

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference Reliability Simulation based on Verilog-A Marq Kole Behavioral Modelling And Simulation conference September 20, 2007 Outline Device Degradation and Circuit Reliability Reliability Physics Reliability

More information

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs

ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs ASNT7122-KMA 15GS/s, 4-bit Flash Analog-to-Digital Converter with HS Outputs 20GHz analog input bandwidth Selectable clocking mode: external high-speed clock or internal PLL with external reference clock

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

What s New OrCAD 16.6 Quarterly Incremental Release #7

What s New OrCAD 16.6 Quarterly Incremental Release #7 What s New OrCAD 16.6 Quarterly Incremental Release #7 Josh Moore Director Product Marketing Cadence OrCAD Solutions Parag Choudhary Product Engineering Cadence OrCAD Solutions Additional Material and

More information

8-Bit to 14-Bit, 40MSPS to 500MSPS ADC Evaluation System

8-Bit to 14-Bit, 40MSPS to 500MSPS ADC Evaluation System 8-Bit to 14-Bit, 40MSPS to 500MSPS ADC Evaluation System Intersil s KMB001 has been created to evaluate the company s portfolio of low power 8-bit to 14-bit, high performance Analog-to-Digital converters.

More information

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop SmartSpice Analog Circuit Simulator Product Update Yokohama, June 2004 Workshop Agenda SmartSpice Products SmartSpice General Features SmartSpice New GUI SmartSpice New features Supported Models and Modeling

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

SPICE Models: ROHM Voltage Detector ICs

SPICE Models: ROHM Voltage Detector ICs SPICE Models: ROHM Voltage Detector ICs BD48 G/FVE,BD49 G/FVE,BD52 G/FVE,BD53 G/FVE, No.10006EAY01 1. INTRODUCTION 1.1 SPICE SPICE is a general-purpose circuit-simulation program for nonlinear DC, nonlinear

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Accurate Thermo-Fluid Simulation in Real Time Environments. Silvia Poles, Alberto Deponti, EnginSoft S.p.A. Frank Rhodes, Mentor Graphics

Accurate Thermo-Fluid Simulation in Real Time Environments. Silvia Poles, Alberto Deponti, EnginSoft S.p.A. Frank Rhodes, Mentor Graphics Accurate Thermo-Fluid Simulation in Real Time Environments Silvia Poles, Alberto Deponti, EnginSoft S.p.A. Frank Rhodes, Mentor Graphics M e c h a n i c a l a n a l y s i s W h i t e P a p e r w w w. m

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

MODELING PHASE-LOCKED LOOPS USING VERILOG

MODELING PHASE-LOCKED LOOPS USING VERILOG MODELING PHASE-LOCKED LOOPS USING VERILOG Jeffrey Meyer Director of Engineering Symmetricom, Inc. 3750 West Wind Blvd. Santa Rosa CA 95403, USA Abstract An essential component of any mixed signal embedded

More information

Comprehensive design and verification with the industry s leading simulators

Comprehensive design and verification with the industry s leading simulators Comprehensive design and verification with the industry s leading simulators Cadence Virtuoso Multi-Mode Simulation combines industry-leading simulation engines to deliver a complete design and verification

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

MAR2100 Maradin MEMS Drive & Control

MAR2100 Maradin MEMS Drive & Control Features Both Electro-magnetic and Electro-static actuators drivers 2D (Vertical and horizontal) capacitance sensing Programmable Gain and BW for sensing and control circuitry Capacitance calibration for

More information

VHDL introduction Notes

VHDL introduction Notes UH Hawaii Manoa 475 Electronics for physicists VHDL introduction Notes Author: Andrej Seljak Date: Fall 2016 update 1 Ver: 1.0 Table of Contents 1. FPGA description3 2. USB EVALUATION board 4 3. ISE Xilinx

More information

Contents SPICE NETLIST IMPORT... 4 INVOKING SPICE NETLIST IMPORT... 4

Contents SPICE NETLIST IMPORT... 4 INVOKING SPICE NETLIST IMPORT... 4 1 Norlinvest Ltd, BVI. is a trade name of Norlinvest Ltd. All Rights Reserved. No part of the SPICE Netlist Import document can be reproduced in any form or by any means without the prior written permission

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

SystemVision Example: H-Bridge SPICE Motor Controller

SystemVision Example: H-Bridge SPICE Motor Controller SystemVision Example: H-Bridge SPICE Motor Controller Copyright Mentor Graphics Corporation 2003 All Rights Reserved. This document contains information that is proprietary to Mentor Graphics Corporation.

More information

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Chung-Kuan Cheng, Andrew B. Kahng, Kambiz Samadi and Amirali Shayan June 13, 2010 CSE and ECE Departments University of California,

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information

ECONseries Low Cost USB DAQ

ECONseries Low Cost USB DAQ ECONseries Low Cost USB Data Acquisition Modules ECONseries Low Cost USB DAQ The ECONseries is a flexible yet economical series of multifunction data acquisition modules. You choose the number of analog

More information

Solve Data Acquisition Compatibility Problems by Combining Features and Performance

Solve Data Acquisition Compatibility Problems by Combining Features and Performance Solve Data Acquisition Compatibility Problems by Combining Features and Performance By Brendan Whelan, Design Manager, Signal Conditioning Products Linear Technology Corp. Modern data acquisition and signal

More information

Code Model. Development Kit. ISSPICE4 Analog-Mixed Signal Simulator

Code Model. Development Kit. ISSPICE4 Analog-Mixed Signal Simulator I n t e r a c t i v e S P I C E New AHDL Based on C Introduction Intusoft, makers of the ISSPICE simulator, have found a new way to extend the capabilities of SPICE by allowing engineers to easily add

More information

ECONseries Low Cost USB DAQ

ECONseries Low Cost USB DAQ ECONseries Low Cost USB Data Acquisition Modules ECONseries Low Cost USB DAQ The ECONseries is a flexible yet economical series of multifunction data acquisition modules. You choose the number of analog

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Programmable Dual Axis Digital Accelerometer and Impact Sensor ADIS16204

Programmable Dual Axis Digital Accelerometer and Impact Sensor ADIS16204 Programmable Dual Axis Digital Accelerometer and Impact Sensor FEATURES Dual-axis impact sensing Dual-axis acceleration sensing, +70g, +35g 4-bit resolution 7. mg/lsb, 8.55mg/LSB sensitivity Impact peak-level

More information

Trend-1 Vibration Data Logger. User Manual

Trend-1 Vibration Data Logger. User Manual Trend-1 Vibration Data Logger User Manual Copyright College of Engineering-University of Basrah 2013 1 1. General Specifications 1.1 Features Thank you for being interested in purchasing Trend-1 Vibration

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Engineering 1000 Chapter 6: Abstraction and Modeling

Engineering 1000 Chapter 6: Abstraction and Modeling Engineering 1000 Chapter 6: Abstraction and Modeling Outline Why is abstraction useful? What are models? how are models different from theory and simulation? Examples from microelectronics Types of model

More information

True Random Number Generator using Solar Output Characteristics

True Random Number Generator using Solar Output Characteristics True Random Number Generator using Solar Output Characteristics Stephen Ritter, Tyler Pigg, Connor Brown, and Biswajit Ray Presenter: Biswajit Ray, Assistant Professor Electrical and Computer Engineering,

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

SPECIAL TOPICS IN COMPUTER ARCHITECTURE AND VLSI DESIGN: Prof. Youngcheol Chae Office: Room B712, Office Hours: Fri.

SPECIAL TOPICS IN COMPUTER ARCHITECTURE AND VLSI DESIGN: Prof. Youngcheol Chae Office: Room B712, Office Hours: Fri. SPECIAL TOPICS IN COMPUTER ARCHITECTURE AND VLSI DESIGN: Overview of Data Converters Prof. Youngcheol Chae ychae@yonsei.ac.kr Office: Room B712, Office Hours: Fri. 4~6PM Related Course Mixed SignalVLSI

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

Hello, and welcome to the Texas Instruments Precision DAC overview of DC specifications of DACs. In this presentation we will briefly cover the

Hello, and welcome to the Texas Instruments Precision DAC overview of DC specifications of DACs. In this presentation we will briefly cover the Hello, and welcome to the Texas Instruments Precision DAC overview of DC specifications of DACs. In this presentation we will briefly cover the properties of the ideal DAC and several other important DC

More information

TS2043 Preliminary CMOS IC

TS2043 Preliminary CMOS IC UNISONIC TECHNOLOGIES CO., LTD TS2043 Preliminary CMOS IC TOUCH PANEL CONTROLLER DESCRIPTION The UTC TS2043 is a highly integrated 12-bit SAR analog-to-digital (A/D) converter designed for touch panel

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Leveraging IBIS Capabilities for Multi-Gigabit Interfaces Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Overview In writing EDI CON paper Signal Integrity Methodology

More information

Fully programmable by LabVIEW. Peripherals supported by dedicated LabVIEW drivers. Editable demo programs

Fully programmable by LabVIEW. Peripherals supported by dedicated LabVIEW drivers. Editable demo programs Newly conceived control board Specifically designed for Power Electronics and Drives and Industrial applications Graphical programming Fully programmable by LabVIEW Peripherals supported by dedicated LabVIEW

More information

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS w w w. m e n t o r. c o m Creating a 3D Via Model in HyperLynx for Channel Analysis This lab will illustrate the integrated 3D via solver within

More information

SystemVision Case Study: Robotic System Design. Dan Block Senior Project Oregon State University

SystemVision Case Study: Robotic System Design. Dan Block Senior Project Oregon State University SystemVision Case Study: Robotic System Design Dan Block Senior Project Oregon State University Introduction The TekBot is part of the Oregon State University (OSU) Platforms for Learning concept 1, created

More information

Chapter 3 - Theory of Operation

Chapter 3 - Theory of Operation SimMatrix User's Manual Chapter 3 -, '-" 3.1 Overview The SimMatrix co-simulation process comprises three different stages; design assembly, partitioning and co-simulation (Figure 3-1). Design Assembly

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information