POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999

Size: px
Start display at page:

Download "POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999"

Transcription

1 Bradley D. McCredie Senior Technical Staff Member Server Group, Austin August 14, 1999

2 Presentation Overview Design objectives Chip overview Technology Circuits Implementation Results

3 Test Chip Objectives Technology feasibility Understand product level Silicon technology Vehicle for small device level experiments from manufacturing as well as design Understand product level packaging technology Critical circuit learning Logic circuitry Clocks I/O Arrays Power delivery Design team skills building 40% of product design team contributed to the POWER4 test chip design Many facets of the design exercised to product level requirements Tools learning > 80% of point tools exercised Execute methodology on a large chip

4 Test Chip Overview Powered on 12/17/98 Included in : Experiments from each unit of the POWER4 chip (called s) L1, L2, trace and SLB caches Product level pumped I/O design implemented Product level clock design implemented Experiments to stress power delivery Engineering and service processor interface On chip tester Chip characteristics: 379 mm 2 35 million transistors 2,217 chip signal I/Os Built to product level test requirements

5 Test Chip Logic Diagram tns_noise_shell tc_fuse tb_lbist_tc tb_abist_tc z_io g_global_clock ths_therm_sense PO PI 2 2 yss_self_sync (8 per "core" mesh and 8 in the "GPS" area) tng_noise_gen Noise Generator Block x16 4 x 16 Noise Control Block x24 noise run tpg_pattern_gen.vhdl 10 x 64 pattern enable pattern controls 640 t_lbus.vhdl 256 t_rbus.vhdl SCom Mode Reg (gmode0) io mux selects 14 1 ts_tte_stop 4 RR PI RR PO L31 PI L31 PO GX PI GX PO L30 PI L30 PO dut selects z_rr_dut IO COP z_l3b1_dut attention lines z_gx_dut td_core z_io_dut z_l3b0_dut Error 4 Collection 10 triggers (a and b) trace_run trace_run 1 20 ECC e_ecc_dut s_isu_dut f_fpu_dut i_ifu_dut x_fxu0_dut d_idu_dut l_slb_dut l_l1d_dut v_l1_dut ISU FPU IFU twd_wire_dut WIRED FXU0 IDU t_lbao.vhdl trc_result_chk.vhdl ta_trace.vhdl 10 x trace array/controls 256 SLB t_rbao.vhdl L1D L2 3 6 SCom Mode Reg (gmode1) SCom Mode Reg (gmode2) 103 fuses PO PI result controls error enable ttc_test_engine 1 1 Test Engine 1

6 fxu Testchip Die Photo Pattern Generator Noise Generators(3) isu wire dut ifu fpu idu l1d l2 Result Checker Trace Function Noise(3) Cop Noise(2) Noise (2) Tech. Exp. Tech. Exp. Tech. Exp. Noise Generators (1)

7 Test Chip Infrastructure Logic On chip tester 640 bit wide, 16 cycle deep vector generation and compare Vector ordering, selection, and loop control is programmable Cycle accurate result compare Random pattern generation mode with MISER Noise generation Cycle by cycle programmable noise generation Chip contains 384 noise generation macro's Each macro noise amplitude and signature is programmable Each cycle noise generator macros can dissipate a total of 0-100W Chip interface, debug infrastructure and test Chip accessed and programmed using product level support processor Manufacturing level test infrastructure implemented On-chip logic analyzer implemented for debug purposes Product level clock controls implemented

8 Technology CMOS 8S Technology 0.18 micron general lithography Silicon on insulator substrate 7 layers of metal, all Cu SRAM cell size: 4.23 sq microns Vdd = 1.5V Package Technology Glass substrate No thin film required > mil C4 chip to substrate connections C4 connections on an 8mil pitch

9 Circuit design verification Clocks High frequency PLL design compared to low jitter reference source On chip clock distribution latency and skew measured I/O Elastic and non-elastic I/O implemented and measured Performance of both I/O types "chip" limited Arrays L1, L2 and SLB implemented and measured The performance and density critical L2 cache design is solid Power/Noise experiments Noise amplitude measured under several different current excitations Package impedance empirically determined for full GHz bandwidth

10 Clock source experiment Ref clk in PLL Clock Distr. Clock out Ref clk out Bypass 800 MHz Clock Jitter No Noise Noise Group 1 CLOCK OUT W/BYPASS CLOCK OUT W/PLL REF CLK OUT W/BYPASS Noise Group 2 Noise Group

11 Measured Clock Skew Volts 70 ps skew (worst chip) 26 points probed Time (ps)

12 I/O Test Chip contains product like I/O architecture Approximately 800 elastic I/O signals Approximately 1400 synchronous I/O Elastic I/O performance Voltage A5 Pattern OF Pattern Synchronous I/O performance Voltage No Noise FFFFFFFF FFFF0000 F

13 L2 Cache Test Results L2 cache macro: 2 cycle access latency Row and column redundancy Array efficiency > 75% Includes ABIST Wafer/Chip MAX ABIST FREQ (MHz) VDD (V) CHUCK TEMP (deg C) FY/4, FY/7, FY/7, PY/5,

14 Measured Noise Results - Time Domain FFFF0000_all - PLL FFFFFFFF_all - PLL P-P Noise (mv) P-P Noise (mv) Frequency (MHz) Frequency (MHz) IDC 16 c4 cap No IDC 16 c4 cap No IDC 8 c4 cap No IDC 1 c4 cap No IDC No c4 cap 4 IDC 16 c4 cap No IDC 16 c4 cap No IDC 8 c4 cap No IDC 1 c4 cap

15 Measured Noise Results - Freq. Domain " Impedance " Impedance vs Frequency 4 IDC 16 c4 Caps No IDC, C4 caps Frequency

16 Voltage Schmoo ecc fxu200 ifu301 ifur1 isu2 idu slb1 fpumax wire V 1.3 V 1.5 V 1.6 V 1.8 V 2.0 V 2.2 V

17 Temperature Schmoo ecc fxu200 ifu301 ifur1 isu2 idu slb1 fpumax wire C 30 0C 80 0C 100 0C

18 Noise Schmoo ecc fxu200 ifu301 isu2 idu slb1 l1d fpumax wire W 60A Delta I (2) 60A Delta I (1) 105W 90W 45W

19 Summary We have demonstrated that the POWER4 Clock, I/O, array and power delivery designs support GHz operation Significant portions of the POWER4 product design have been implemented and tested The POWER4 service processor interface, manufacturing test and debug design has been implemented and tested The POWER4 design team has built a product like chip utilizing the product design tools and methodology

A 1.5GHz Third Generation Itanium Processor

A 1.5GHz Third Generation Itanium Processor A 1.5GHz Third Generation Itanium Processor Jason Stinson, Stefan Rusu Intel Corporation, Santa Clara, CA 1 Outline Processor highlights Process technology details Itanium processor evolution Block diagram

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

PowerPC TM 970: First in a new family of 64-bit high performance PowerPC processors

PowerPC TM 970: First in a new family of 64-bit high performance PowerPC processors PowerPC TM 970: First in a new family of 64-bit high performance PowerPC processors Peter Sandon Senior PowerPC Processor Architect IBM Microelectronics All information in these materials is subject to

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 13 Memory and Interfaces 2005-3-1 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

A 5.2 GHz Microprocessor Chip for the IBM zenterprise

A 5.2 GHz Microprocessor Chip for the IBM zenterprise A 5.2 GHz Microprocessor Chip for the IBM zenterprise TM System J. Warnock 1, Y. Chan 2, W. Huott 2, S. Carey 2, M. Fee 2, H. Wen 3, M.J. Saccamango 2, F. Malgioglio 2, P. Meaney 2, D. Plass 2, Y.-H. Chan

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

POWER7+ TM IBM IBM Corporation

POWER7+ TM IBM IBM Corporation POWER7+ TM 2012 Corporation Outline POWER Processor History Design Overview Performance Benchmarks Key Features Scale-up / Scale-out The new accelerators Advanced energy management Summary * Statements

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10,

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board

PC104P-24DSI Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board PC104P-24DSI12 12-Channel 24-Bit Delta-Sigma PC104-Plus Analog Input Board With 200 KSPS Sample Rate per Channel and Optional Low-Power Configuration Available also in PCI, cpci and PMC form factors as:

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 1867 MT/s bus analysis Supports Agilent 16900-series logic analyzers Includes protocol-decode software, probe configuration software,

More information

Application Note. Pyramid Probe Cards

Application Note. Pyramid Probe Cards Application Note Pyramid Probe Cards Innovating Test Technologies Pyramid Probe Technology Benefits Design for Test Internal pads, bumps, and arrays High signal integrity Rf and DC on same probe card Small

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Golam R Chowdhury Will Rogers Lane phone: cell Austin, TX 78727

Golam R Chowdhury Will Rogers Lane phone: cell Austin, TX 78727 Golam R Chowdhury 13501 Will Rogers Lane phone: 512 587 9237 cell golamc@gmail.com Austin, TX 78727 Objective: Seeking an Adjunct Faculty Position in Electrical Engineering. Profile With a combined experience

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1 19-0849; Rev 1; 12/07 10-Bit LVDS Serializer General Description The serializer transforms 10-bit-wide parallel LVCMOS/LVTTL data into a serial high-speed, low-voltage differential signaling (LVDS) data

More information

High-speed I/O test: The ATE paradigm must change

High-speed I/O test: The ATE paradigm must change High-speed I/O test: The ATE paradigm must change 2005 VLSI Test Symposium Session 4C Burnie West May 2005 Outline The brave new world Test methodology PHY testing Functional testing ATE specifications

More information

Source-Synchronous Testing Using Paired Strobes

Source-Synchronous Testing Using Paired Strobes Teradyne Users Group Source-Synchronous Testing Using Paired Strobes Keith Remlinger Test Spectrum, Inc. 105 W. Riverside Dr, Suite 200, Austin TX 78704 keith.remlinger@testspectrum.com Travis Volek Freescale

More information

3.3V CMOS HEX BUFFER/DRIVER WITH OPEN-DRAIN OUTPUTS AND 5 VOLT TOLERANT I/O

3.3V CMOS HEX BUFFER/DRIVER WITH OPEN-DRAIN OUTPUTS AND 5 VOLT TOLERANT I/O 3.3V CMOS HEX BUFFER/DRIVER WITH OPEN-DRAIN S AND 5 T TOLERANT I/O IDT74LVC07A FEATURES: 0.5 MICRON CMOS Technology ESD > 200 per MIL-STD-883, Method 3015; > 20 using machine model (C = 200pF, R = 0) VCC

More information

3.3V ZERO DELAY CLOCK BUFFER

3.3V ZERO DELAY CLOCK BUFFER 3.3V ZERO DELAY CLOCK BUFFER IDT2309A FEATURES: Phase-Lock Loop Clock Distribution 10MHz to 133MHz operating frequency Distributes one clock input to one bank of five and one bank of four outputs Separate

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Lost in the Bermuda Triangle: Energy, Complexity, and Performance. Dennis Abts Cray Inc.

Lost in the Bermuda Triangle: Energy, Complexity, and Performance. Dennis Abts Cray Inc. Lost in the Bermuda Triangle: Energy, Complexity, and Performance Dennis Abts Cray Inc. Exploring Uncharted Waters 1. what does complexity mean to you? 2. What takes the most time to verify in your designs?

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Key Challenges in High-End Server Interconnects. Hubert Harrer

Key Challenges in High-End Server Interconnects. Hubert Harrer Key Challenges in High-End Server Interconnects by Packaging Key Challenges Bus Bandwidths Large increase of bandwidths driven by multicore processors increasing frequency increasing bit lines Power high

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design Or, how I learned to stop worrying and love complexity. http://www.eecs.umich.edu/~taustin Microprocessor Verification Task of determining

More information

High Parallelism Memory Test Advances based on MicroSpring Contact Technology

High Parallelism Memory Test Advances based on MicroSpring Contact Technology High Parallelism Memory Test Advances based on MicroSpring Contact Technology Thomas Homorodi- Director of Marketing Robert Martin Technical Sales Eng. Southwest Test Workshop June 2001 Contents Introduction

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp.

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp. Networks for Multi-core hips A A ontrarian View Shekhar Borkar Aug 27, 2007 Intel orp. 1 Outline Multi-core system outlook On die network challenges A simple contrarian proposal Benefits Summary 2 A Sample

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

Memory Device Evolution

Memory Device Evolution Memory Device Evolution Cassino May 2008 Maurizio Di Zenzo Applications Lab Mgr Agenda Random access memories A quick comparison of technologies Details of external memory technologies Solutions for low

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Bridging High Performance and Low Power in the era of Big Data and Heterogeneous Computing

Bridging High Performance and Low Power in the era of Big Data and Heterogeneous Computing Bridging High Performance and Low Power in the era of Big Data and Heterogeneous Computing Ruchir Puri Emrah Acar, Minsik Cho, Mihir Choudhury, Haifeng Qian, Matthew Ziegler IBM Thomas J Watson Research

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation.

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. Agenda >Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. >Embedded Device Technology #1. Embedded MLCC in FCCSP Substrate. #2. Embedded Active Device.

More information

Electromagnetic Transient Fault Injection on AES

Electromagnetic Transient Fault Injection on AES Electromagnetic Transient Fault Injection on AES Amine DEHBAOUI ¹, Jean-Max DUTERTRE ², Bruno ROBISSON ¹, Assia TRIA ¹ Fault Diagnosis and Tolerance in Cryptography Leuven, Belgium Sunday, September 9,

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1

ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 ASNT1011-KMA DC-to-17Gbps Digital Multiplexer / Serializer 16:1or 8:1 Broadband programmable digital serializer 16-to-1 or 8-to-1 LVDS compliant input data buffers Full-rate clock output Selectable LVDS-compliant

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer Broadband digital serializer 16 to 1 operating seamlessly from DC to 17Gbps LVDS compliant input data buffers Full-rate clock output Clock

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

Good Morning. I will be presenting the 2.25MByte cache on-board Hewlett-Packard s latest PA-RISC CPU.

Good Morning. I will be presenting the 2.25MByte cache on-board Hewlett-Packard s latest PA-RISC CPU. 1 A 900MHz 2.25MByte Cache with On Chip CPU - Now in SOI/Cu J. Michael Hill Jonathan Lachman Good Morning. I will be presenting the 2.25MByte cache on-board Hewlett-Packard s latest PA-RISC CPU. 2 Outline

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information