A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

Size: px
Start display at page:

Download "A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter"

Transcription

1 A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota, Minneapolis, MN kimx2447@umn.edu Symposia on VLSI Technology and Circuits

2 Outline Resonant Supply Noise Issue Introduction to Clock Data Compensation Proposed 32nm Supply-Noise Tracking PLL Deep Trench Capacitor Based Loop Filter PLL Test Chip Measured Data Summary Slide 1

3 Resonant Supply Noise Basics [1] N. Kurd et al., JSSC 2009 Resonance between package/bonding inductance and on-die decoupling capacitance Typical resonant frequency : MHz Typical resonant noise amplitude : ~10% Slide 2

4 Clock Data Compensation (CDC) Clock period modulated by resonant noise Performance loss partially alleviated by intrinsic CDC Optimal CDC: Make CLKo period track datapath delay Slide 3

5 Techniques for Enhancing CDC Key control parameters : Clockpath supply, PLL output clock (CLKi) period Slide 4

6 Optimizing CDC with Adaptive PLL VDD VDD PLL CLKi... Clockpath CLKo Data path Conv. PLL (Constant CLKi period) Adaptive PLL (Variable CLKi period) VDD CLKi period CLKo Data P P P F F F P P P P P P P P P P P P PP PP P P P: Pass / F: Fail Adaptive PLL achieves optimal CDC by modulating the CLKi period using the supply noise Slide 5

7 Existing PLLs for Enhancing CDC [1] N. Kurd et al., JSSC 2009 [2] D. Jiao et al., ISSCC 2011 Prior works: open-loop, one-time programmable Proposed work: closed-loop, real-time PVT tracking Slide 6

8 Clockpath and Datapath Timing Models Datapath delay: Proportional to supply voltage Clockpath delay: Must account for the delay difference between two consecutive clock edges Slide 7

9 Deriving Optimal CDC Condition Using Timing Models CLKi period Delay D(t) CLKo period A: PLL sensitivity B: Clockpath sensitivity d/dt t ( )dt t-d(t) Supply noise = -sin(t) when A=B By making A = B using an adaptive PLL - CLKo period becomes independent of D(t) - CLKo period is perfectly aligned with datapath delay optimal CDC Slide 8

10 Comparison with Prior Art Slide 9

11 Effectiveness of PVT Tracking Slide 10

12 Proposed Supply-Noise Tracking PLL Ref. CLK CLKi Slide 11

13 Conventional CP PLL & Clockpath Ref. CLK VBN VBP Slide 12

14 Supply-Noise Sensitivity Tracking Loop CDC modulator EN[62:0] Binary to therm. Counter Digital filter Tunable critical path bit error monitor CLKo VBN Sensitivity tracking loop PFD UP DN VC Diff. VCO CLKi M U X CP Feedback CLK LF VCO bias gen. PLL freq. divider Various clockpaths Digital supply: VDD Slide 13

15 CDC Modulator & Tunable ERR Monitor Sensitivity=C u /(C u +C d ) VDD VDD RST C u C EN[0] C EN[1] VBN C EN[62] ERR CLKo Tunable delay C d EN[0] C EN[1] C C EN[62] Datapath CLKo CDC modulator EN[62:0] Binary to therm. Counter Digital filter ERR Tunable critical path bit error monitor CLKo VBN Sensitivity tracking loop Ref. CLK PFD UP DN VC VBP VBN Diff. VCO CLKi M U X CP Feedback CLK LF VCO bias gen. PLL freq. divider Various clockpaths Digital supply: VDD Slide 14

16 Tracking Loop Transient Response Slide 15

17 Deep Trench Capacitor G. Wang et al., IEDM 2009 Vertical shape ~50x higher density than thick-oxide Applications : edram, decoupling cap., DC-DC Drawbacks : additional cost, high internal series res. Slide 16

18 Deep Trench Cap. Based Loop Filter N. Butt et al., IEDM 2010 Dense deep trench cap. used for PLL s integrating cap. for the first time area reduction Thick-oxide cap. used as a ripple reject cap. no phase noise degradation Slide 17

19 Measured F max with Capacitor Types 1E-5 1E-6 1E-7 Deep Trench Thick Oxide 1E p i i p Both (640pF) Thick Oxide C i (320pF) Deep Trench C i (320pF) Deep trench vs. thick oxide integrating capacitor No noticeable difference in PLL performance Slide 18

20 Area Reduction with Deep Trench Cap. Capacitor area ratio = mm2 / mm2 = 1/56 Slide 19

21 Die Photo and Performance Summary Slide 20

22 Measured F max vs. Noise Amplitude % ~ 90mV (=10% of nom. VDD) Clockpath Config. No interconnect Short interconnect Medium interconnect Long interconnect 14.5% ~ 15.6% F max improvement for 90mV noise 100MHz F max improvement proportional to noise amplitude Slide 21

23 Measured F max vs. Noise Frequency 9.9% ~ 14.2% F max improvement for 40~320MHz Proposed PLL effective across a wide frequency range Slide 22

24 Summary Resonant supply noise is a growing concern in low voltage processors Existing PLL designs for enhancing CDC involve exhaustive search of key tuning parameters Proposed 32nm sensitivity-tracking PLL Timing-model based approach to optimize CDC Automatic supply-noise sensitivity tracking loop Low-area deep trench capacitor based loop filter 15% F max improvement, 92.1% PLL area reduction Slide 23

A Supply-Noise Sensitivity Tracking PLL in 32 nm SOI Featuring a Deep Trench Capacitor Based Loop Filter

A Supply-Noise Sensitivity Tracking PLL in 32 nm SOI Featuring a Deep Trench Capacitor Based Loop Filter IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 4, APRIL 2014 1017 A Supply-Noise Sensitivity Tracking PLL in 32 nm SOI Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Member, IEEE,

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012.

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012. CBC performance with switched capacitor DC-DC converter Mark Raymond, Tracker Upgrade Power Working Group, February 212. 1 CBC power features 2 powering features included on CBC prototype pads for test

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

Design of Clock Distribution in High Performance Processors

Design of Clock Distribution in High Performance Processors Design of Clock Distribution in High Performance Processors Ian Young Intel Senior Fellow and Director, Advanced Circuits and Technology Integration (ACTI) Technology Manufacturing Group (TMG) Intel Corporation,

More information

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology Ronak J. Patel 1, Shaishav P. Patel 2, Nilesh D. Patel 3 1 PG Student, CSPIT, Changa, 2 PG Student, LCIT, Bhandu, 3

More information

Correlated Double Sampler (CDS) AD9823

Correlated Double Sampler (CDS) AD9823 Correlated Double Sampler (CDS) AD9823 FEATURES 40 MHz correlated double sampler (CDS) Fixed 3.5 db CDS gain Low noise optical black clamp circuit 3 V single-supply operation 4-lead TSSOP package CCDIN

More information

DM9051NP Layout Guide

DM9051NP Layout Guide NP Version: 1.1 Technical Reference Manual Davicom Semiconductor, Inc Version: NP-LG-V11 1 1. Placement, Signal and Trace Routing Place the 10/100M magnetic as close as possible to the (no more than 20mm)

More information

AS CMOS TECHNOLOGY advances and the ratio between

AS CMOS TECHNOLOGY advances and the ratio between IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 60, NO. 6, JUNE 2013 311 A 990-μW 1.6-GHz PLL Based on a Novel Supply-Regulated Active-Loop-Filter VCO Kwang-Chun Choi, Sung-Geun Kim,

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

A 5.2 GHz Microprocessor Chip for the IBM zenterprise

A 5.2 GHz Microprocessor Chip for the IBM zenterprise A 5.2 GHz Microprocessor Chip for the IBM zenterprise TM System J. Warnock 1, Y. Chan 2, W. Huott 2, S. Carey 2, M. Fee 2, H. Wen 3, M.J. Saccamango 2, F. Malgioglio 2, P. Meaney 2, D. Plass 2, Y.-H. Chan

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Article begins on next page

Article begins on next page Title: A 19.4 nj/ 364K s/s in-memory random forest classifier in 6T SRAM array Archived version Accepted manuscript: the content is identical to the published paper, but without the final typesetting by

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

PACKAGE AND PLATFORM VIEW OF INTEL S FULLY INTEGRATED VOLTAGE REGULATORS (FIVR) Edward (Ted) Burton

PACKAGE AND PLATFORM VIEW OF INTEL S FULLY INTEGRATED VOLTAGE REGULATORS (FIVR) Edward (Ted) Burton PACKAGE AND PLATFORM VIEW OF INTEL S FULLY INTEGRATED VOLTAGE REGULATORS (FIVR) Edward (Ted) Burton Ivy Bridge Platform Haswell Platform Core VR 0V-1.2V Graphics VR 0V-1.2V PLL VR 1.8V I/O VR 1.0V System

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization DesignCon 2008 A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization Kazi Asaduzzaman, Altera Corporation Tim Hoang, Altera Corporation Kang-Wei Lai, Altera Corporation Wanli Chang,

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999 Bradley D. McCredie Senior Technical Staff Member Server Group, Austin August 14, 1999 Presentation Overview Design objectives Chip overview Technology Circuits Implementation Results Test Chip Objectives

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK PLL & PLLVCO Serial Programming Interface

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

LatticeSC sysclock PLL/DLL User s Guide

LatticeSC sysclock PLL/DLL User s Guide July 2008 Introduction Technical Note TN1098 This user s guide describes the clocking resources available in the LatticeSC architecture. Details are provided for primary clocks, edge clocks, and secondary

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

+14dBm to +20dBm LO Buffers/Splitters with ±1dB Variation

+14dBm to +20dBm LO Buffers/Splitters with ±1dB Variation -24; Rev 2; 3/4 +dbm to +dbm LO Buffers/Splitters General Description The MAX9987 and MAX9988 LO buffers/splitters each integrate a passive two-way power splitter with highisolation input and output buffer

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array. Mingu Kang, Sujan Gonugondla, Naresh Shanbhag

A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array. Mingu Kang, Sujan Gonugondla, Naresh Shanbhag A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array Mingu Kang, Sujan Gonugondla, Naresh Shanbhag University of Illinois at Urbana Champaign Machine Learning under Resource

More information

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673 19-44 ; Rev 0; 2/09 E V A L U A T I O N K I T A V A I L A B L E Low-Jitter Frequency Synthesizer General Description The is a low-jitter frequency synthesizer that accepts two reference clock inputs and

More information

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán Nevis ADC Design Columbia University June 4, 2014 Outline The goals of the project Introductory remarks The road toward the design Components developed in Nevis09, Nevis10 and Nevis12 Nevis13 chip Architecture

More information

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. Anselme Vignon, Stefan Cosemans, Wim Dehaene K.U. Leuven ESAT - MICAS Laboratory Kasteelpark Arenberg

More information

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4.

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4. PRODUCT DESCRIPTION UMD1423 is a Pi filter array with TVS diodes for ESD protection. This device has six Pi filters integrated along with four channels of ESD protection. The Pi filters have values of

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

Capacitive mtouch Sensing Solutions

Capacitive mtouch Sensing Solutions Capacitive mtouch Sensing Solutions Design Guidelines 2010 Microchip Korea AE Team. All Rights Reserved. Capacitive Touch Sensing Design Guide Slide 1 Agenda Design Guidelines for: Copper Pad Size Circuit

More information

ON-DIE cache memory is a key component in advanced

ON-DIE cache memory is a key component in advanced IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 10, OCTOBER 2012 2517 A 2T1C Embedded DRAM Macro With No Boosted Supplies Featuring a 7T SRAM Based Repair and a Cell Storage Monitor Ki Chul Chun, Wei

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

A Highly-Digital Frequency Synthesizer Using Ring Oscillator Frequency-to-Digital Conversion and Noise Cancellation

A Highly-Digital Frequency Synthesizer Using Ring Oscillator Frequency-to-Digital Conversion and Noise Cancellation A Highly- Freqency Synthesizer Using Ring Oscillator Freqency-to- Conversion and Noise Cancellation Colin Weltin-W,2, Gobi Zhao, Ian Galton University of California at San Diego, La Jolla, CA 2 Analog

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS

EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS EXPERIMENTAL HIGH SPEED CMOS IMAGE SENSOR SYSTEM & APPLICATIONS Ali Ozer Ercan, Feng Xiao, Xinqiao Liu SukHwan Lim, Abbas El Gamal and Brian Wandell Stanford University IEEE Sensors 2002 Conference 1 Background

More information

The System of Readout Boards for ALICE TRD

The System of Readout Boards for ALICE TRD PRESENTATION The System of Readout Boards for ALICE TRD Dr. Ivan Rusanov Physics Institute, Uni - Heidelberg ALICE TRD: Charge Sensitive Preamplifier (PASA Measurements - Dr. Ivan Rusanov; PI, Uni-Heidelberg)

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Microprocessor and DSP Technologies for the Nanoscale Era

Microprocessor and DSP Technologies for the Nanoscale Era Microprocessor and DSP Technologies for the Nanoscale Era Seminar 1 Ram Kumar Krishnamurthy Microprocessor Research Labs Intel Corporation, Hillsboro, OR ram.krishnamurthy@intel.com 1 July 5, 2005 Intel

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

5. Delta-Sigma Modulators for ADC

5. Delta-Sigma Modulators for ADC Basics Architectures SC Modeling Assisted Low-Power 1/46 5. Delta-Sigma Modulators for ADC Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat

More information

MAS9278 IC for MHz VCXO

MAS9278 IC for MHz VCXO IC for 10.00 30.00 MHz XO Low Power Wide Supply Voltage Range True Sine Wave Output Very High Level of Integration Integrated Varactor Electrically Trimmable Very Low Phase Noise Low Cost DESCRIPTION The

More information

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel

PC104P-24DSI6LN. Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module. With 200 KSPS Sample Rate per Channel PC104P-24DSI6LN Six-Channel Low-Noise 24-Bit Delta-Sigma PC104-Plus Analog Input Module With 200 KSPS Sample Rate per Channel Available also in PCI, cpci and PMC form factors as: PCI-24DSI6LN: cpci-24dsi6ln:

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs 19-4909; Rev 0; 10/09 EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, General Description The is a highly flexible, precision phaselocked loop (PLL) clock generator optimized for the next generation

More information

Energy-Efficient RISC-V Processors in 28nm FDSOI

Energy-Efficient RISC-V Processors in 28nm FDSOI Energy-Efficient RISC-V Processors in 28nm FDSOI Borivoje Nikolić Department of Electrical Engineering and Computer Sciences University of California, Berkeley bora@eecs.berkeley.edu 26 September 2017

More information

Timing for Optical Transmission Network (OTN) Equipment. Slobodan Milijevic Maamoun Seido

Timing for Optical Transmission Network (OTN) Equipment. Slobodan Milijevic Maamoun Seido Timing for Optical Transmission Network (OTN) Equipment Slobodan Milijevic Maamoun Seido Agenda Overview of timing in OTN De-synchronizer (PLL) Requirements of OTN Phase Gain Loop Bandwidth Frequency Conversion

More information

ILI2312. ILI2312 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.03.

ILI2312. ILI2312 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.03. Single Chip Capacitive Touch Sensor Controller Specification Version: V1.03 Date: 2015/11/17 ILI TECHNOLOGY CORP. 8F, No.38, Taiyuan St., Jhubei City, Hsinchu County 302, Taiwan, R.O.C. Tel.886-3-5600099;

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

MAX3636 Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs

MAX3636 Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs 19-629; Rev ; 9/11 E V A L U A T I O N K I T A V A I L A B L E General Description The is a highly flexible, precision phase-locked loop (PLL) clock generator optimized for the next generation of network

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Key Features 240-pin, dual in-line memory module (DIMM) ECC 1-bit error detection and correction. Registered inputs with one-clock delay.

Key Features 240-pin, dual in-line memory module (DIMM) ECC 1-bit error detection and correction. Registered inputs with one-clock delay. C M 7 2 D D 1 0 2 4 R- X X X Key Features 240-pin, dual in-line memory module (DIMM) Ultra high density using 512 MBit SDRAM devices ECC 1-bit error detection and correction Registered inputs with one-clock

More information

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs 19-491; Rev ; 1/9 EVALUATION KIT AVAILABLE General Description The is a highly flexible, precision phaselocked loop (PLL) clock generator optimized for the next generation of network equipment that demands

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Hitachi Ltd., Kanagawa, Japan oosaka@sdl.hitachi.co.jp Toyohiko Komatsu Hitachi Ltd., Kanagawa, Japan

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

Home Networking Board Design Using PCnet -Home Devices. Application Note

Home Networking Board Design Using PCnet -Home Devices. Application Note Home Networking Board Design Using PCnet -Home Devices Application Note Home Networking Board Design Using PCnet-Home Devices Application Note This application note is intended to assist customers in using

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches Ken K. -Y. Chang, William Ellersick, Shang-Tse Chuang, Stefanos Sidiropoulos, Mark Horowitz, Nick McKeown: Computer System Laboratory,

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE24 - Spring 20 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework due on Wednesday Quiz # next Monday, March 7 2 Outline Last lecture Variability This lecture SRAM 3 Practical

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

7. Integrated Data Converters

7. Integrated Data Converters Intro Flash SAR Integrating Delta-Sigma /43 7. Integrated Data Converters Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma

More information

PCB Layout and design Considerations for CH7007 and CH7008

PCB Layout and design Considerations for CH7007 and CH7008 Application Notes PCB Layout and design Considerations for CH7007 and CH7008 Introduction This application note focuses on the basic PCB layout and design guidelines for the CH7007 and CH7008 VGA-to-TV

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

CPCI-16AIO Channel 16-Bit Analog I/O CPCI Board With 8 Input Channels, 8 Output Channels, and Auto calibration

CPCI-16AIO Channel 16-Bit Analog I/O CPCI Board With 8 Input Channels, 8 Output Channels, and Auto calibration CPCI-16AIO-88 16-Channel 16-Bit Analog I/O CPCI Board With 8 Input Channels, 8 Output Channels, and Auto calibration Features Include: 8 Analog Output Channels with a 16-Bit D/A Converter per Channel 16-Bit

More information

Implementing the Teridian 73S8024RN in NDS Applications

Implementing the Teridian 73S8024RN in NDS Applications April 2007 Implementing the Teridian in NDS Applications 1 Introduction This application note highlights particular design considerations required to implement Conditional Access smart card interfaces

More information