Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc.

Size: px
Start display at page:

Download "Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc."

Transcription

1 Power Considerations in High Performance FPGAs Abu Eghan, Principal Engineer Xilinx Inc.

2 Agenda Introduction Trends and opportunities The programmable factor 4 focus areas for power consideration Silicon design & Fabrication Programmability & flexibility in implementation with Software The Package choices The end-user contribution Thermal Management Enabling tools Summary 2

3 Trends & Opportunities in FPGA Process shrinks & Increased level of integration: Allows: More traditional Programmable logic Arrays Embedded Blocks 450Mhz PowerPC, SERDES Multigigabit Transceivers BRAMs, DCM, Xtreme DSP, Ethernet MAC Impact general and may not be unique to FPGA Increased leakage current and static power Heat flux (watts/cm2) trend is up. Higher clock speeds capability The increased frequency imply high performance & higher dynamic power Challenges Shared with Industry Reliability limitation & lower operating temperatures Performance & Cost Trade-offs Lower thermal budgets 3

4 FPGA Attributes Programmability & Uniqueness Factor: More programmable transistors to deal with The challenge to Packaging Flexibility means power needs are largely unknown There is no single tailored thermal solution for all applications The upside Die size is relatively large power density is not at the edge More standby or passive transistors reduced power density Menu of packages offered - with broad heat handling capability, Closer OEM collaboration, to get real world feedback Opportunity to deal with power beyond packages into silicon, software etc. 4

5 Power Considerations Reduced Need for Heat Sinks Smaller Power Supplies Critical for equipment with high T j and little or no cooling Wired/wireless equipment - outdoor Modular racks in storage/servers Automotive Telematics & entertainment Mil/Aero battle tanks, fighter planes, etc Ideal & common requirement Bigger, faster, cheaper! Reduce the need for heatsinks or at least the cost of thermal solution Lower power supply overhead & complexity 5

6 The 4 Prong Approach The 4 focus areas Silicon design & Fabrication Software implementation of design Programmability, flexibility & choices The Packages FCBGA consistent TIM The end-user contribution Thermal Management Enabling tools 6

7 Considerations - 1 The Silicon: Design & Implementation contribution to Heat generation 7

8 FPGA-101: FPGA Terms Logic Blocks used to implement a wide range of arbitrary digital functions. Programmable I/O Blocks Routing resources Switch matrix and interconnects/pass gates Key FPGA attribute regardless of what heterogeneous functions and elements are incorporated - is the ability to implement arbitrary digital logic circuit. 8

9 The 3 common Power Components 1. Transient Inrush current 2. Static 3. Dynamic Inrush TOTAL Dynamic Power Static Time 9

10 Addressing The 1st Power Components 1. Transient Inrush current 2. Static 3. Dynamic Inrush TOTAL Dynamic Power Static Time 10

11 Transient - Inrush Current While Vcc rises from 0 V to nominal voltage Internal circuits can go through states of confusion Configuration storage latches can wake up at random states Without proper handling of this wake phase, this confusion may lead to internal contention In older generation FPGAs the inrush current spike (multiple amps) were sources of concern prior to the elimination by sequential house-cleaning Inrush current is almost eliminated in Xilinx devices through innovative configuration features & protocols Impact on Power: This was more of a power supply issue than a sustained heat management issue due to its transient nature and the associated large heat capacity of the large chips where this phenomena can be significant. 11

12 The 2nd Power Components 1. Transient Inrush current 2. Static 3. Dynamic Inrush TOTAL Dynamic Power Static Time 12

13 Static Power Increases Dramatically with Temperature 7 I CCINTQ vs Junction Temperature 6 gate I CCINTQ Normalized Leakage Current source I GATE I S D 25 C drain 85 C 100 C Junction Temp C 13

14 Xilinx 90nm Triple-Oxide Technology Leakage current increases as channel length and gate oxide thickness decrease Same oxide thickness is not needed in all the transistors Two oxide thicknesses are commonly used in the industry Thin oxide in the fast core logic Thick oxide in the versatile I/O 90nm implementation adds a third medium thickness oxide to selected structures to reduce leakage current without compromising performance Source Metal Connection Source Gate Channel Drain Metal Connection Drain Gate Oxide varying thicknesses on die 14

15 Optimizing Performance and Leakage High speed and low power: Low VT transistors LUTs, LUTs, I/O, I/O, Interconnect, Interconnect, Configuration Configuration Memory Memory Cells Cells used only where necessary for speed Choose different oxide thicknesses Select transistor size for performance and function Threshold Oxide Transistor Voltage (VT) Thickness Size Optimal transistor mix for minimizing leakage and maximizing performance 15

16 Reducing Leakage Current Function Oxide Thickness Threshold Voltage (V T ) Channel Length Speed Leakage I/O Thick High Longest Fast Lowest Configuration Memory Medium Medium Long Slow Low Interconnect Pass Gates Medium Low/Medium Short Fast Low Logic & Interconnect Thin Low Short Very Fast Medium/High Careful management of various process parameters Enables low leakage current; hence, low static power without a negative impact on performance Triple-oxide technology, threshold voltage, channel lengths are tuned Triple-oxide technology yields biggest gains 16

17 Multi-oxide Technology Reduces Static Power 6 Relative Static Power (Worst Case process & 85 ºC) nm Trend 50 % Lower Power 90nm FPGA Trend 25K 50K 75K 100K 125K 150K 175K 200K Logic Cells 90nm has 50% lower static power than equivalent 130nm 17

18 Xilinx 90nm FPGA Power 1 to 5 Watts lower power per FPGA 50% reduction in 90nm static and dynamic power vs 130nm devices at the 100K Gate Enabled through multi-oxide technologies 90nm triple-oxide technology deployment High-performance embedded IP Design choices made to meet power budget without compromising FPGA performance 18

19 Addressing The 3rd Power Components 1. Transient Inrush current 2. Static 3. Dynamic Inrush TOTAL Dynamic Power Static Time 19

20 Dynamic Power Dynamic Power P = kcv 2 f where k = Nodes switching C = Capacitance per node V = Voltage switching f = switching frequency or toggle rate Reduced Core dynamic power Internal operating voltage is the dominant factor Secondary scaling by frequency and node capacitance Even at 50% higher operating frequency, the 90nm implementation reduces dynamic power by 20% Constant I/O dynamic power Similar capacitance, voltage and frequency to support industry I/O standards Relative Core Power % FPGA Core Dynamic Power Trend 130nm 90nm Node Capacitance drops Voltage drops Relative Capacitance or Voltage 20

21 Process Shrink Reduces Dynamic Power 130 nm 90 nm % Change Power Ratio V ccint % 0.64 C tot (rel.) % 0.80 same frequency % 0.51 f max (rel.) % 1.5 Max f (k*cv 2 f) % E.g., Virtex-4 (90nm) has 50% lower dynamic power than Virtex-II Pro (130nm)

22 Low Power by Design Hard IP 500 MHz Logic Array 500 MHz Differential Clocking 1 Gbps diff I/O with ChipSync 450 MHz PowerPC with APU 10/100/1000 Ethernet MAC 500 MHz XtremeDSP Slice 500 MHz BRAM and FIFO 22

23 Why Hard-IPs Reduced Static Power No extra transistors as in programmable logic No programmable interconnect transistors Reduced Dynamic Power Metal Interconnects vs. Metal and programmable interconnects Reduced trace lengths No extra node capacitance because of lack of pass transistors Minimized layers of logic 23

24 Considerations - 2 ISE Contribution to Total Thermal management Placement Implementation 24

25 Optimizations for Power Designs may be placed with Optimizations Optimized For performance Optimized for lower power Feature selection, including power conservation features, impacts power Dynamic Power varies linearly with frequency Implement non-critical functions to run on a low speed clock rather than an arbitrary high speed clock in the design Your actual mileage will depend on the course you take and your driving habits 25

26 Power Reduction Techniques Through Switching Nodes and Capacitance Reduction Reducing number of nodes switching into a capacitive load Minimize Logic Levels through better logic packing (ex. Use a packed Relationally Placed Macro - RPM) Increase design speed target for routing purpose to minimize interconnect length and reduce interconnect capacitance Use an RPM or other placement method to guide tighter placement and help reduce routing length, especially on repeated macros Bump up performance target for XST router May be able to gain 5-10 % power improvement lower capacitance by minimizing path length and interconnect hops 26

27 Dynamic Power Reduction Techniques Through Embedded Functions Significant reduction when implementing embedded functions OSERDES, ISERDES, FIFOs, DSP48, PPC, EMAC 5 12x Reduction on power over FPGA fabric and FPGA programmable interconnects Interconnect length is reduced and interconnect transistors eliminated Not dependent on programmable paths or non-optimized placement Direct metal connection reduce lumped node capacitance Logic is reduced Minimal logic layers are present and minimal logic size Significant fewer transistor being switched Able to use a smaller Virtex-4 FPGA due to logic saving Reduce both static power and dynamic power with Embedded functions 27

28 Considerations - 3 The Package implementation Contribution to Thermal Management 28

29 Xilinx Package Features that Enhance Thermal Performance Built on the heat efficient Flipchip BGA (FCBGA) offerings Enhance thermal performance beyond standard FCBGA packages Enable very low θ JC through the top Void free, thin and low resistance thermal Interface material (TIM) consistently applied to ensure lower θ JC from the back of the die Deliver a low resistance platform for heat sink applications Offer up to 20% lower θ JB compared to past generation product ( see next slide) Enhanced power and ground plane arrangement through Xilinx unique SparseChevron technology Improved electrical return path boosts copper density and overall thermal conductivity through the package Increase vertical thermal conductivity through a denser and distributed via field Silicon VCCINT GND SIO VCCO GND CORE (600 um) VCCINT GND VCCO GND VCCAUX 29

30 FPGA SparseChevron Pinnout New IO/Vcco/GND pattern Better IO to GND/Vcco pair ratio Every SelectIO adjacent to Vcco & GND Vcco GND Vccint Vccaux I/O FF1513 Pin-out Thermal Performance Impact Increased via density 18-20% improvement in Theta-JB 30

31 Considerations - 4 The Programmability Challenge Supporting the End-user in their system Thermal management using FPGAs. 31

32 Managing System Level Heat 32 FPGA dilemma: Application environment is unknown. Some guesses are possible When thermal margins are low the Ja, Jc (figure of merit) thermal data is inadequate. Addressing the unknown Efficient packages - inherently low Theta-JC & Theta-JB Predetermine some known environments Natural Air Heatsinks with Forced air fans (1 rack, 2 rack unit H/S) Box/Container design, Board as a heatsink, Conducting plates Application specific thermal design - OEM contribution Thermal Implementation Enablers: Xpower Power estimations BCI-CTM library for these product

33 Post-Implementation XPower in ISE software Integration in Design Flow Considers device data, along with the user's design files Report estimated device power consumption at high level of accuracy customized to specific design Enables FPGA designers to perform detailed power analysis Tool extracts utilization from ISE and toggle rates from test vectors and simulation Output Use the GUI or detailed report to see what is consuming the power FPGA nets can be highlighted in XPower and shown in FPGA Editor Power Analysis 33

34 CTM for Thermal Budget Planning Accurate temperature prediction of IC components at the system level has become critical due to the shrinking thermal budget Xilinx provide Compact Thermal Models (CTMs) for system thermal budget planning These behavioral models predict package temperature at the selected nodes (e.g., junction, case, and balls) when integrated with other ICs. CTM libraries are Downloadable (pdml and taz format) on Xilinx.com for usage in conjunction with customers thermal simulation tools. 34 Two model types offered by Xilinx

35 Summary Process shrinks & Increased level of integration offer some challenges for FPGA at leading edge CMOS technologies. Improvements in system and device reliability through significant power reduction and package enhancements are still possible. The following are some of the specific activities making those incremental improvements possible Static power reduced by >40% through innovative choices in process and circuit design Dynamic power reduced by 50% at a given frequency general voltage reduction Use of Embedded functions reduce power by a factor of 5x to 10x Enhanced package thermal performance through Xilinx unique via field arrangement - SparseChevron technology applied to FCBGA Lowered θ JC and θ JB to further reduce thermal concerns Comprehensive software tools for placement and power optimization. Thermal model libraries support for system design, power estimation and system thermal analysis 35

36 Thanks for your attention Acknowledgements: Matt Klein, Xilinx Inc. for his inputs & contribution 36

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc.

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc. Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Impact of Technology Node Adoption Programmability & FPGA Expanding Application Space Review

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Power vs. Performance: The 90 nm Inflection Point

Power vs. Performance: The 90 nm Inflection Point White Paper: Virtex-4 Family R WP223 (v1.2) May 19, 2006 Power vs. Performance: The 90 nm Inflection Point By: Anil Telikepalli The debate over which high-performance 90 nm FPGA has the lowest power is

More information

Power. Management. Solution Guide. Solving Today's Hot Challenge INSIDE

Power. Management. Solution Guide. Solving Today's Hot Challenge INSIDE Power Solution Guide Management Issue 1 July 2005 Solving Today's Hot Challenge INSIDE ARTICLES Conquering the Three Challenges of Power Consumption The Virtex-4 Power Play WHITE PAPERS Power vs. Performance:

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

Power Estimation and Management for MachXO Devices

Power Estimation and Management for MachXO Devices September 2007 Technical Note TN1090 Introduction One requirement for design engineers using programmable devices is to be able to calculate the power dissipation for a particular device used on a board.

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Calibration within T3Ster Measurement & FloTHERM

Calibration within T3Ster Measurement & FloTHERM Calibration within T3Ster Measurement & FloTHERM Dr. Alvin Hsu ( 許欽淳 ) Sr. Application Engineer June 14, 2017 Electronics Thermal Challenges Used to be 5-10% error was okay. Now, we can do better Inaccurate

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-7.1 Feedback Subscribe 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX,

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Introduction to FPGAs. H. Krüger Bonn University

Introduction to FPGAs. H. Krüger Bonn University Introduction to FPGAs H. Krüger Bonn University Outline 1. History 2. FPGA Architecture 3. Current Trends 4. Design Methodology (short see other lectures) Disclaimer: Most of the resources used for this

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015 Speedster22i FPGA Family Power Estimator Tool User Guide UG054- July 28, 2015 1 UG054, July 28, 2015 Copyright Info Copyright 2015 Achronix Semiconductor Corporation. All rights reserved. Achronix is a

More information

Optimizing Wide Vin Designs with LDOs

Optimizing Wide Vin Designs with LDOs Optimizing Wide Vin Designs with LDOs June 2017 Jose Gonzalez Product Marketing Engineer for TI s Linear Regulators & LDOs 1 Agenda Common Design Requirements & Applications Design Challenges TI Solutions

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera Thermal Management of Mobile Electronics: A Case Study in Densification Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi Tessera MEPTEC Thermal Symposium: The Heat is On February 2007 Outline Trends in mobile

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

XPERTS CORNER. Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior

XPERTS CORNER. Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior 60 Xcell Journal Fourth Quarter 2014 Power management in FPGA designs is always a critical issue. Here s a new way to measure

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

HL2430/2432/2434/2436

HL2430/2432/2434/2436 Features Digital Unipolar Hall sensor High chopping frequency Supports a wide voltage range - 2.5 to 24V - Operation from unregulated supply Applications Flow meters Valve and solenoid status BLDC motors

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Vertical Conductive Structures

Vertical Conductive Structures Vertical Conductive Structures A new Interconnect Technique Agenda The need for an alternative PCB technology Introduction of VeCS Technology comparison Cost comparison State of VeCS technology Application

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION

MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MICRO BURN IN PRODUCTS LISTED IN MODEL NUMBER ORDER FOLLOWED BY A BRIEF DESCRIPTION MODEL 102P 102R DESCRIPTION Floor Stand (Plane) Floor Stand (Modified) HTRB Burn-In System (diode) Component Burn-In

More information

Power and Thermal Estimation and Management for MachXO3 Devices

Power and Thermal Estimation and Management for MachXO3 Devices October 2017 Technical Note TN1289 Introduction Key requirements for many of today s high volume FPGA applications are low power consumption and operation within challenging thermal environments. The MachXO3

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput Reduce FPGA Power With Automatic Optimization & Power-Efficient Design Vaughn Betz & Sanjay Rajput Previous Power Net Seminar Silicon vs. Software Comparison 100% 80% 60% 40% 20% 0% 20% -40% Percent Error

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS November 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Using Low

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

*Note: Operation beyond this range is possible, but has not been characterized. PART. Maxim Integrated Products 1

*Note: Operation beyond this range is possible, but has not been characterized. PART. Maxim Integrated Products 1 19-8; Rev ; 2/ EVALUATION KIT AVAILABLE 8MHz to MHz Variable-Gain General Description The MAX6 general-purpose, high-performance variable-gain amplifier (VGA) is designed to operate in the 8MHz to MHz

More information

High Connection Density, Inc. NexMod Technology Solutions

High Connection Density, Inc. NexMod Technology Solutions High Connection Density, Inc. NexMod Technology Solutions 1 NexMod Product Line Product Timelines Networking RDRAM NexMod available now DDR NexMod Lite available February 2002 Blade server DDR NexMod available

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

2011 Signal Processing CoDR: Technology Roadmap W. Turner SPDO. 14 th April 2011

2011 Signal Processing CoDR: Technology Roadmap W. Turner SPDO. 14 th April 2011 2011 Signal Processing CoDR: Technology Roadmap W. Turner SPDO 14 th April 2011 Technology Roadmap Objectives: Identify known potential technologies applicable to the SKA Provide traceable attributes of

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy

Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy White Paper Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy Introduction This document compares power consumption and power estimation accuracy for Altera Stratix II FPGAs and Xilinx Virtex-4

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

AIC A Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS TYPICAL APPLICATION CIRCUIT

AIC A Dual USB High-Side Power Switch FEATURES DESCRIPTION APPLICATIONS TYPICAL APPLICATION CIRCUIT 1.0A Dual USB High-Side Power Switch FEATURES 2.7V to 6.5V Input Voltage Range 1.0A Dual Continuous Load Current 100mΩ High-Side P-MOSFET Switch 20Ω Open-Drain Over-Current Flag Output 80uA Quiescent Supply

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Customer Power Needs..and how to design for them

Customer Power Needs..and how to design for them Customer Power Needs.and how to design for them Common Customer PSU Needs Low Acoustic Noise Long life & Low Maintenance in the Field High Ingression Protection Communication Capability Acoustic Noise

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

White Paper. Visual Processing in Rugged Environments. By Joe Lin, General Manager, Industrial Computing Solutions.

White Paper. Visual Processing in Rugged Environments. By Joe Lin, General Manager, Industrial Computing Solutions. White Paper Visual Processing in Rugged Environments By Joe Lin, General Manager, Industrial Computing Solutions www.nexcom.com Complex visual processing is becoming an important part of many rugged industrial

More information

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4.

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4. PRODUCT DESCRIPTION UMD1423 is a Pi filter array with TVS diodes for ESD protection. This device has six Pi filters integrated along with four channels of ESD protection. The Pi filters have values of

More information

MIC2544A/2548A. General Description. Features. Applications. Typical Application. Programmable Current Limit High-Side Switch

MIC2544A/2548A. General Description. Features. Applications. Typical Application. Programmable Current Limit High-Side Switch Programmable Current Limit High-Side Switch General Description The MIC2544A and MIC2548A are integrated, high-side power switches optimized for low loss DC power switching and other power management applications,

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

Recent Advancements in Bus-Interface Packaging and Processing

Recent Advancements in Bus-Interface Packaging and Processing Recent Advancements in Bus-Interface Packaging and Processing SCZA001A February 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

System Power Savings Using Dynamic Voltage Scaling. Scot Lester Texas Instruments

System Power Savings Using Dynamic Voltage Scaling. Scot Lester Texas Instruments System Power Savings Using Dynamic Voltage Scaling Scot Lester Texas Instruments What Is Dynamic Voltage Scaling? Dynamic voltage scaling, or DVS, is a method of reducing the average power consumption

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information