6\VWHPRQD&KLS $&DVHIRU+HWHURJHQHRXV $UFKLWHFWXUHV

Size: px
Start display at page:

Download "6\VWHPRQD&KLS $&DVHIRU+HWHURJHQHRXV $UFKLWHFWXUHV"

Transcription

1 6\VWHPRQD&KLS $&DVHIRU+HWHURJHQHRXV $UFKLWHFWXUHV Jan M. Rabaey BWRC University of Berkeley bwrc.eecs.berkeley.eduedu With contributions from Richard Newton and many others

2 Design at a Crossroad Silicon technology tracking Moore s Law Silicon in 2010 Die Area: 2.5x2.5 cm Voltage: V Technology: 0.07 µm Density Access Time (Gbits /c m2) (ns ) DRAM DRAM (Logic) times times denser power 5 times than density clock todayrate SRAM (Cache) Density Max. Ave. Power Clock Rate (Mgates/cm2) (W/cm2) (GHz) Custom Std. Cell Gate Array Single-Mask GA FPGA

3 Design at a Crossroad Applications beat Moore s Law Algorithmic Complexity Log Complexity 3G Cellular generations Moore s Law as applied to processors in Si. (factor 2 every 18 months) 2G 1G Time Source: R. Subramanian, Mophics Tech. Inc

4 Productivity Trans./Staff - Month Design at a Crossroad Design at a Crossroad The Productivity Gap 10,000,000 1,000, ,000 10,000 1,000 Logic Transistors per Chip (K) Logic Transistors/Chip Transistor/Staff Month 100,000,000 10,000,000 1,000, ,000 10,000 1, Source: SEMATECH µ.35µ 2.5µ x x x x x x x

5 Design at a crossroad System-on-a-Chip Multi- Spectral Imager RAM 500 k Gates FPGA + 1 Gbit DRAM Preprocessing 64 SIMD Processor Array + SRAM Image Conditioning 100 GOPS Analog µc system +2 Gbit DRAM Recognition Embedded applications where cost, performance, and energy are the real issues! DSP and control intensive Mixed-mode Combines programmable and application-specific modules Software plays crucial role

6 The Distributed Approach to Information Processing

7 The Changing Metrics Power and/or Energy have become dominant drivers Limiting factor for performance and reliability in wall-plugged applications Enabler for wide-spread use of distributed computing and data access Energy reduction requires joint optimization process between application and implementation

8 The Changing Metrics Cost of fabrication facilities and mask making has increased significantly NRE cost of new design has increased significantly Physical effects (parasitics( parasitics,, reliability issues, power management) are increasingly significant in the design process These must now be considered explicitly at the circuit level Design complexity, and context complexity is sufficiently high that design verification is a major limitation on time-to-market

9 The Changing Metrics Power Cost Flexibility Performance as a Functionality Constraint ( Just-in-Time Computing )

10 The System-on-a-Chip Nightmare Femme se coiffant Pablo Ruiz Picasso 1940

11 The System-on-a-Chip Nightmare DMA CPU DSP System Bus Mem Ctrl. Bridge MPEG The Board-on-a-Chip Approach C I O O Custom Interfaces Control Wires Peripheral Bus

12 System-on-a-Chip A Renaissance in Design Design Methodology Hard+Soft Convergence Applications Multimedia Consumer Communications Aart De Geus DAC 99 Implementation Fabrics Silicon substrate Silicon fabrics

13 An Architectural Renaissance Tensilica Synthesized and Configurable µprocessor (Soft IP) Embedded ARM-8 Microprocessor (Hard IP) Courtesy of ARM, Tensilica Inc

14 An Architectural Renaissance Very-Short Instruction Word Processors Crossbar Switch Memory (512 Mbits / 64 MBytes) C 8 Vector Pipes (+ 1 spare) I P O U Memory (512 Mbits / 64 MBytes) 0.13 µm CMOS 1 GHz 16 GFLOPS 64 GOPS (projected) V-IRAM: An integrated Vector Processor for Media Processing [Patterson et all]

15 An Architectural Renaissance MCU Core Memory DSP Core WCDMA CDMA IS-136 GSM DRA Processor Software programmable Hardware reconfigurable Software Download WCDMA CDMA WTDMA TDMA (mode, param) (mode, param) (mode, param) (mode, param) SIM Card Handset Memory POS Programming Network Download OTA Download Fixed logic Realizes cost, size and power targets similar to traditional core+hardwired MorphICs Dynamically Reconfigurable Architecture (DRA) Processor

16 An Architectural Renaissance Combines Trimedia VLIW with Configurable media co-processors Philips Nexperia NX-2700 A programmable HDTV media processor

17 Architectural Choices Flexibility Prog Mem µp Prog Mem µp Prog Mem µp Satellite Processor MAC Unit Addr Gen General Purpose µp Dedicated Logic Satellite Satellite Processor Processor Hardware Reconfigurable Processor Software Programmable DSP Direct Mapped Hardware 1/Efficiency

18 The Energy-Flexibility Gap Energy Efficiency MOPS/mW (or MIPS/mW) Dedicated HW Reconfigurable Processor/Logic ASIPs DSPs Embedded Processors Pleiades MOPS/mW 2 V DSP: 3 MOPS/mW Flexibility (Coverage) SA MIPS/mW

19 An Architectural Renaissance DMA DMA CPU CPU DSP DSP MPEG Open Core Protocol TM Mem Ctrl. Bridge MPEG C C MEM I I O O O SiliconBackplane Agent TM Communications-based Design Example: The Silicon Backplane (Sonics, Inc) Guaranteed Bandwidth Arbitration

20 Programming the Platform Algorithm Software Implementation Compilation Application What is the Programmer s Model? Architecture Microarchitecture Component Assembly and Synthesis Estimation and Evaluation Primary focus of SIA GSRC Design and Test Focus Center

21 Fast Design Space Exploration Architect Designer s Input: Architectural Choices... Architecture Parameters Application (Generic C code) Profiler Parameterized Architecture Model Retargetable Estimator... Output: Estimate, Profile Example: Retargetable estimation [Ghazal]

22 A Case Study The Integrated CMOS Radio

23 Trends in Wireless Systems Towards better spectrum utilization using aggressive signal and protocol processing Examples: multi-user detection, multi-antenna arrays adaptive, multi-functional networks Example: IMTS2000 / UMTS (3G) Towards ubiquitous wireless networking Example: Bluetooth, HomeRF, FireFly Resulting requirements high performance, low-energy, adaptivity and flexibility

24 Issues in Single-Chip Radio Design &RQWURO UI Call Setup Slot Allocation Synchronization Data Acquisition Data Encoding Radio Data Formatting Mod/ Demod Application Network Mac/ Data Link Physical + RF 'DWD source data sec streams msec packets µsec bits nsec Data and Time Granularity

25 The Software Radio A/D Converter D/A Converter DSP Idea: Digitize (wideband( wideband) ) signal at antenna and use signal processing to extract desired signal Leverages of advances in technology, circuit design, and signal processing Software solution enables flexibility and adaptivity, but at huge price in power and cost 16 bit A/D converter at 2.2 GHz dissipates 1 to 10 W

26 The Mostly Digital Radio Analog Digital cos[2π(2ghz)t] RF input (f c = 2GHz) I (50MS/s) A/D RF filter chip boundary LNA A/D Q (50MS/s) Digital Baseband Receiver sin[2π(2ghz)t]

27 The Software-Definable Radio????????????? uc core (ARM) Logic Accelerators (bit level) phone Java book VM Keypad, Display A D Timing recovery Equalizers Control MUD ARQ Multi-model Analog RF analog digital Filters Adaptive Antenna Algorithms DSP core

28 A Trend Towards GP DSPs? LAN (phy) Fixed Function 10K HDD (read) MegaMacs 1K 100 VDSL Cable modem ADSL G-lite VON, VB Modems, HDD (servo), Wireless baseband General Purpose DSP Time Source: TI

29 Single-Chip DSPs are Lagging Megamacs Moore s law: x 1.58/year DSPs DSP Trend: x 1.4/year Year While algorithms are beating Moore s law! Source: TI

30 And Computation Seems Almost for Free a+jb a b a b c d d c c+jd p_r p_i p_r + jp_i 12 x 12 Complex Multiplier 0.25 µm m CMOS process Area: 0.18 mm 2 Perf: : 25 1V Energy: 40 MCMACs/mW

31 Energy Trends in DSPs v 5v mw/mips v 3v 5v v Year 2v DSP 1v DSP v DSP DSP Power Gene s Law Factor 1.6 reduction per year Source: TI

32 The Implementation Trade-off Adaptive Pilot Correlator... Adaptive Pilot Correlator 300 million multiplications/sec 357 million add-sub s/sec Data In Acquisition and Timing Recovery Channel C 0 Coefficient Estimates C L-1 Signal Update Block Digital Baseband Receiver S k Adaptive Data Correlator Data Out

33 Adaptive Multi-User Detection A Direct Mapping Approach Correlator Power and area are dominated by MACs and multiplies Only 36% of power of DSP-processor solution going into arithmetic

34 Reconfigurable Computing: Merging Efficiency and Versatility Spatially programmed connection of processing elements. Hardware customized to specifics of problem. Direct map of problem specific dataflow, control. Circuits adapted as problem requirements change.

35 A New Look at Architectures Heterogeneous Reconfiguration Reconfigurable Logic Reconfigurable Datapaths Reconfigurable Arithmetic In Reconfigurable Control CLB CLB CLB CLB mux reg0 reg1 adder buffer AddrGen Memory AddrGen Memory MAC Data Memory Datapath Data Memory Program Memory Inst ruction Decoder & Controller Bit-Level Operations e.g. encoding Dedicated data paths e.g. Filters, AGU Arithmetic kernels e.g. Convolution RTOS Process management

36 Multi-granularity Reconfigurable Architecture: The Berkeley Pleiades Architecture Configuration Bus Satellite Processor Arithmetic Processor Arithmetic Processor Communication Network Arithmetic Processor Configuration Dedicated Arithmetic Control Processor Configurable Datapath Configurable Logic Network Interface Computational kernels are spawned to satellite processors Control processor supports RTOS and reconfiguration Order(s) of magnitude energy-reduction over traditional programmable architectures

37 Matching Computation and Architecture AddressGen AddressGen Convolution Memory MAC Memory MAC L G C Control Processor Two models of computation: communicating processes + data-flow Two architectural models: sequential control+ data-driven

38 Example: Covariance Matrix Computation for (i=1; i<=l ength; i ++) { for (k=i; k<=length; k++) { phi[i][k] = phi[i-1][k-1] + in[np-i]*in[np-k] - in[na-1-i]*in[na-1-k]; } } AddrGen Mem:in MPY ALU AddrGen Mem:phi ALU

39 Reconfigurable Kernels for W-CDMA Dominant kernel M(M T X) ) requires array of MACs and segmented memories Additional operations such as sqrt(x), 1/x, and Trellis decoding may be implemented using FPGA or cordic satellite

40 Data-driven Synchronization Based on Finite Streams Smart satellites able to handle data inputs of different types Support of multi-dimensional signal processing Introduction of data types: scalars, vectors, matrices 1 1 MP Y 1 1 n MP Y n n n MAC 1

41 Impact of Architectural Choice Impact of Architectural Choice Example: 16 point Complex Radix-2 FFT (Final Stage) Energy/stage u 10u 1u 21u Delay/stage 10u 3.8u StrongARM TMS320C2xx TMS320LC54x StrongARM TMS320C2xx TMS320LC54x Normalized Energy / stage [nj] Normalized Delay/stage [s] 100n 1000 Energy*Delay/stage n 0.75 TMS320C2xx TMS320LC54x Normalized Energy*Delay / stage [Js*e-14] Pleiades Pleiades StrongARM Pleiades

42 Adaptive Multi-User Detector for W-CDMA Pilot Correlator Unit Using LMS AG AG AG MEM MEM MEM MEM MEM MEM alt alt alt alt alt alt s_r s_i y_r y_i MAC MAC MUL MUL MUL MUL Filter SUB ADD ACC ACC Zmf_r Zmf_i ADD ADD Coefficient Update SUB SUB SUB ADD MUL MUL MUL MUL SUB SUB y_r y_i MUL MUL s_r Zmf_r s_i Zmf_i

43 Architecture Comparison LMS Correlator at 1.67 MSymbols Data Rate Complexity: 300 Mmult/sec and 357 Macc/sec 16 Mmacs/mW! Note: TMS implementation requires 36 parallel processors to meet data rate - validity questionable

44 Maia: : Reconfigurable Baseband Processor for Wireless 0.25um tech: 4.5mm x 6mm 1.2 Million transistors 40 MHz at 1V 1 mw VCELP voice coder Hardware 1 ARM-8 8 SRAMs & 8 AGPs 2 MACs 2 ALUs 2 In-Ports and 2 Out-Ports 14x8 FPGA

45 Fast Design Space Exploration Interconnect Models Model: Interconnect energy and delay model Algorithm mapping Graph-based place and route Mesh Module Hierarchical Mesh Multi-Bus N Inputs cluster cluster B Buses M Outputs cluster

46 Design Methodology and Flow Requires architecture exploration over heterogeneous implementation fabrics Should support refinement and co- design of hardware and software, as well as behavior and architecture Should consider all important metrics, and present PDA (Power-Delay-Area) perspective

47 Software Methodology Flow Algo rithms C++ Kernel Detection Behavioral Es timation/explo ration SUIF+ C-IF Power & Timing Estimation of Vario us Kerne l Imple mentations Partitioning Software Compilation Re c onfig. Hardware Mapping Inte rfac e Code Gen e ratio n µproc & Accelerator PDA Mo de ls Premapped Kernels C++ Module Librarie s

48 Hardware-Software Exploration Macromodel call

49 Implementation Fabrics for Protocols RACH req RACH akn A protocol = Extended FSM RACH idle Memory slotset read write update idle R_ENA W_ENA Slot_Set_Tbl 2x16 BUF BUF addr slot_set <31:0> Slot_no <5:0> Slot start Pkt end Intercom TDMA MAC

50 Intercom TDMA MAC Implementation alternatives ASIC FPGA ARM8 Power 0.26mW 2.1mW 114mW Energy 10.2pJ/op 81.4pJ/op n*457pj/op ASIC: 1V, 0.25 µm m CMOS process FPGA: 1.5 V 0.25 µm m CMOS low-energy FPGA ARM8: 1 V 25 MHz processor; n = 13,000 Ratio: >> 400

51 The Software-Defined Radio FPGA Embedded up Dedicated FSM Dedicated DSP Reconfigurable DataPath

52 Summary and Perspective Technology scaling is redefining the term complexity System-on-a-Chip fosters renaissance in processor architecture, opening the door for new models and combinations thereof: Component and Communication Based Design SOC driven by new set of metrics: how to simultaneously optimize flexibility, cost, energy, and performance? Reconfigurable architectures provide tantalizing combination of flexibility and efficiency Numerous solutions for addressing the data-intensive component of the software-defined radio the next challenge is control

Low-Energy System Design. Jan M. Rabaey BWRC University of Berkeley

Low-Energy System Design. Jan M. Rabaey BWRC University of Berkeley Low-Energy System Design Jan M. Rabaey BWRC University of California @ Berkeley http://bwrc bwrc.eecs.berkeley.eduedu Why Low-Energy Design? A holistic perspective Energy = upper bound on the amount of

More information

Silicon Architectures for Wireless Systems Part 2 Configurable Processors

Silicon Architectures for Wireless Systems Part 2 Configurable Processors Tutorial HotChips 01 Silicon Architectures for Wireless Systems Part 2 Configurable Processors Jan M. Rabaey BWRC University of California @ Berkeley http://www.eecs.berkeley.edu/~jan With contributions

More information

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510)

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510) A V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications Hui Zhang, Vandana Prabhu, Varghese George, Marlene Wan, Martin Benes, Arthur Abnous, and Jan M. Rabaey EECS Dept., University

More information

Overview of SOC Architecture design

Overview of SOC Architecture design Computer Architectures Overview of SOC Architecture design Tien-Fu Chen National Chung Cheng Univ. SOC - 0 SOC design Issues SOC architecture Reconfigurable System-level Programmable processors Low-level

More information

Summary of Previous Class. Lecture 14: (Re)configurable Computing Case Studies. Page 1

Summary of Previous Class. Lecture 14: (Re)configurable Computing Case Studies. Page 1 Summary of Previous Class Lecture 14: (Re)configurable Computing Case Studies Prof. Jan Rabaey Computer Science 252, Spring 2000 Configurable Computing using programming in space versus programming in

More information

The Distributed Approach to Information Processing

The Distributed Approach to Information Processing (PEHGGHG6\VWHP'HVLJQ IRU:LUHOHVV$SSOLFDWLRQV Jan M. Rabaey BWRC University of California @ Berkeley http://www.eecs.berkeley.edu/~jan DAC 2000, Los Angeles The Distributed Approach to Information Processing

More information

%H\RQGWKH7KLUG*HQHUDWLRQ RI:LUHOHVV&RPPXQLFDWLRQV

%H\RQGWKH7KLUG*HQHUDWLRQ RI:LUHOHVV&RPPXQLFDWLRQV %H\RQGWKH7KLUG*HQHUDWLRQ RI:LUHOHVV&RPPXQLFDWLRQV,&,&66LQJDSRUH Jan M. Rabaey BWRC University of California @ Berkeley http://bwrc bwrc.eecs.berkeley.eduedu What is the relation between wireless and Fibonacci?

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing Kees A. Vissers CTO Chameleon Systems, Inc. kees@cmln.com Research Fellow, UC Berkeley vissers@eecs.berkeley.edu www.eecs.berkeley.edu/~vissers MP-SOC, July, 2002 Silicon, 20 years

More information

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures ECE 747 Digital Signal Processing Architecture DSP Implementation Architectures Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 406 Spring 2006 Slide 1 My Goal Challenge

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

EECS 244 Computer-Aided Design of Integrated Circuits and Systems EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

Processor Applications. The Processor Design Space. World s Cellular Subscribers. Nov. 12, 1997 Bob Brodersen (http://infopad.eecs.berkeley.

Processor Applications. The Processor Design Space. World s Cellular Subscribers. Nov. 12, 1997 Bob Brodersen (http://infopad.eecs.berkeley. Processor Applications CS 152 Computer Architecture and Engineering Introduction to Architectures for Digital Signal Processing Nov. 12, 1997 Bob Brodersen (http://infopad.eecs.berkeley.edu) 1 General

More information

Interface-Based Design Introduction

Interface-Based Design Introduction Interface-Based Design Introduction A. Richard Newton Department of Electrical Engineering and Computer Sciences University of California at Berkeley Integrated CMOS Radio Dedicated Logic and Memory uc

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, Hoi-Jun Yoo Semiconductor System

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Vector IRAM: A Microprocessor Architecture for Media Processing

Vector IRAM: A Microprocessor Architecture for Media Processing IRAM: A Microprocessor Architecture for Media Processing Christoforos E. Kozyrakis kozyraki@cs.berkeley.edu CS252 Graduate Computer Architecture February 10, 2000 Outline Motivation for IRAM technology

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don RAMP-IV: A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae,, and Hoi-Jun Yoo oratory Dept. of EECS,

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

The extreme Adaptive DSP Solution to Sensor Data Processing

The extreme Adaptive DSP Solution to Sensor Data Processing The extreme Adaptive DSP Solution to Sensor Data Processing Abstract Martin Vorbach PACT XPP Technologies Leo Mirkin Sky Computers, Inc. The new ISR mobile autonomous sensor platforms present a difficult

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!!

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!! Challenges Shift to Reuse Strategy Higher Level of Abstractions Software!!! 1 PERCENT OF TRANSISTORS WITHIN EMBEDDED IP (EXCLUDES MEMORY) 100 Random Logic Transistors Transistors (%) Transistors Within

More information

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine

More information

System on Chip (SoC) Design

System on Chip (SoC) Design System on Chip (SoC) Design Moore s Law and Technology Scaling the performance of an IC, including the number components on it, doubles every 18-24 months with the same chip price... - Gordon Moore - 1960

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

04 - DSP Architecture and Microarchitecture

04 - DSP Architecture and Microarchitecture September 11, 2015 Memory indirect addressing (continued from last lecture) ; Reality check: Data hazards! ; Assembler code v3: repeat 256,endloop load r0,dm1[dm0[ptr0++]] store DM0[ptr1++],r0 endloop:

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

System Design Paradigms. Electronics and the Car

System Design Paradigms. Electronics and the Car System Design Paradigms Alberto Sangiovanni Vincentelli The Edgar L. and Harold H. Buttner Chair of Electr.. Eng. and Comp. Science University of California at Berkeley Co-Founder, Chief Technology Advisor

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

An Asynchronous Array of Simple Processors for DSP Applications

An Asynchronous Array of Simple Processors for DSP Applications An Asynchronous Array of Simple Processors for DSP Applications Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Tinoosh Mohsenin, Mandeep Singh, Bevan Baas

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC) Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 10 System on Chip (SOC) In the last class, we had discussed digital signal processors.

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Programmable DSP Architectures Chih-Wei Liu VLSI Signal Processing Lab Department of Electronics Engineering National Chiao Tung University Outline DSP Arithmetic Stream Interface

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Universiteit van Amsterdam 1

Universiteit van Amsterdam 1 Universiteit van Amsterdam 1 Embedded systems are ubiquitous! Andy D. Pimentel IPA Lentedagen, 22 April, 2010 2 The design of modern embedded systems becomes increasingly complex Need to support multiple

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

04 - DSP Architecture and Microarchitecture

04 - DSP Architecture and Microarchitecture September 11, 2014 Conclusions - Instruction set design An assembly language instruction set must be more efficient than Junior Accelerations shall be implemented at arithmetic and algorithmic levels.

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS)

SONICS, INC. Sonics SOC Integration Architecture. Drew Wingard. (Systems-ON-ICS) Sonics SOC Integration Architecture Drew Wingard 2440 West El Camino Real, Suite 620 Mountain View, California 94040 650-938-2500 Fax 650-938-2577 http://www.sonicsinc.com (Systems-ON-ICS) Overview 10

More information

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Engineering Director, Xilinx Silicon Architecture Group Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Presented By Kees Vissers Fellow February 25, FPGA 2019 Technology scaling

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing

Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing Walter Stechele, Stephan Herrmann, Andreas Herkersdorf Technische Universität München 80290 München Germany Walter.Stechele@ei.tum.de

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

Computer Architecture s Changing Definition

Computer Architecture s Changing Definition Computer Architecture s Changing Definition 1950s Computer Architecture Computer Arithmetic 1960s Operating system support, especially memory management 1970s to mid 1980s Computer Architecture Instruction

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Il pensiero parallelo: Una storia di innovazione aziendale

Il pensiero parallelo: Una storia di innovazione aziendale Il pensiero parallelo: Una storia di innovazione aziendale Maria Teresa Gatti Scienzazienda Trento, 8 Maggio 2006 Overview ST is one of the largest Worldwide Semiconductors provider, with products ranging

More information

Multicore SoC is coming. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems. Source: 2007 ISSCC and IDF.

Multicore SoC is coming. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems. Source: 2007 ISSCC and IDF. Scalable and Reconfigurable Stream Processor for Mobile Multimedia Systems Liang-Gee Chen Distinguished Professor General Director, SOC Center National Taiwan University DSP/IC Design Lab, GIEE, NTU 1

More information

Reminder. Course project team forming deadline. Course project ideas. Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline

Reminder. Course project team forming deadline. Course project ideas. Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline Reminder Course project team forming deadline Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline Course project ideas If you have difficulty in finding team mates, send your

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2007 Introduction to Digital Integrated Circuits - Spring 2007 Introduction to Digital Integrated Circuits Tu-Th 5pm-6:30pm 150 GSPP 1 What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN Giovanni De Micheli Luca Benini CSL - Stanford University DEIS - Bologna University Electronic systems Systems on chip are everywhere Technology

More information

On-Chip Communications

On-Chip Communications On-Chip Communications Somayyeh Koohi Department of Computer Engineering Sharif University of Technology 1 Introduction Adapted with modifications from lecture notes prepared by S.Pasricha and N.Dutt Outline

More information