CMOS Digital Integrated Circuits

Size: px
Start display at page:

Download "CMOS Digital Integrated Circuits"

Transcription

1 CMOS Digital Integrated Circuits Chapter 1 Introduction S.M. Kang and Y. Leblebici 1 CMOS Digital Integrated Circuits 3 rd Edition Copyright The McGraw-Hill Companies, Inc. Permission required for reproduction or display.

2 Some History Invention of the transistor (BJT) 1947 Shockley, Bardeen, Brattain Bell Labs Single-transistor integrated circuit 1958 Jack Kilby Texas Instruments Invention of CMOS logic gates 1963 Wanlass & Sah Fairchild Semiconductor First microprocessor (Intel 4004) ,300 MOS transistors, 740 khz clock frequency Very Large Scale Integration 1978 Chips with more than ~20,000 devices 2 CMOS Digital Integrated Circuits 3 rd Edition

3 More Recently Ultra Large Scale Integration System on Chip (SoC) 20 ~ 30 million transistors in 2002 The chip complexity has increased by a factor of 1000 since its first introduction, but the term VLSI remained virtually universal to denote digital integrated systems with high complexity. 3 CMOS Digital Integrated Circuits 3 rd Edition

4 Some Leading-Edge Examples 7 CMOS Digital Integrated Circuits 3 rd Edition

5 Evolution of Minimum Feature Size 9 CMOS Digital Integrated Circuits 3 rd Edition

6 Moore s Law 11 CMOS Digital Integrated Circuits 3 rd Edition

7 Evolution of Memory Capacity 12 CMOS Digital Integrated Circuits 3 rd Edition

8 Shrinking Device Dimensions YEAR TECHNOLOGY 130 nm 100 nm 70 nm 50 nm 35 nm CHIP SIZE 400 mm mm mm mm mm 2 NUMBER OF TRANSISTORS (LOGIC) DRAM CAPACITY MAXIMUM CLOCK FREQUENCY MINIMUM SUPPLY VOLTAGE MAXIMUM POWER DISSIPATION MAXIMUM NUMBER OF I/O PINS 400 M 1 Billion 3 Billion 6 Billion 16 Billion 2 Gbits 10 Gbits 25 Gbits 70 Gbits 200 Gbits 1.6 GHz 2.0 GHz 2.5 GHz 3.0 GHz 3.5 GHz 1.5 V 1.2 V 0.9 V 0.6 V 0.6 V 130 W 160 W 170 W 175 W 180 W CMOS Digital Integrated Circuits 3 rd Edition

9 Increasing Function Density YEAR TECHNOLOGY 130 nm 100 nm 70 nm 50 nm 35 nm CHIP SIZE 400 mm mm mm mm mm 2 NUMBER OF TRANSISTORS (LOGIC) 400 M 1 Billion 3 Billion 6 Billion 16 Billion DRAM CAPACITY 2 Gbits 10 Gbits 25 Gbits 70 Gbits 200 Gbits MAXIMUM CLOCK FREQUENCY MINIMUM SUPPLY VOLTAGE MAXIMUM POWER DISSIPATION MAXIMUM NUMBER OF I/O PINS 1.6 GHz 2.0 GHz 2.5 GHz 3.0 GHz 3.5 GHz 1.5 V 1.2 V 0.9 V 0.6 V 0.6 V 130 W 160 W 170 W 175 W 180 W CMOS Digital Integrated Circuits 3 rd Edition

10 Increasing Clock Frequency YEAR TECHNOLOGY 130 nm 100 nm 70 nm 50 nm 35 nm CHIP SIZE 400 mm mm mm mm mm 2 NUMBER OF TRANSISTORS (LOGIC) DRAM CAPACITY MAXIMUM CLOCK FREQUENCY MINIMUM SUPPLY VOLTAGE MAXIMUM POWER DISSIPATION MAXIMUM NUMBER OF I/O PINS 400 M 1 Billion 3 Billion 6 Billion 16 Billion 2 Gbits 10 Gbits 25 Gbits 70 Gbits 200 Gbits 1.6 GHz 2.0 GHz 2.5 GHz 3.0 GHz 3.5 GHz 1.5 V 1.2 V 0.9 V 0.6 V 0.6 V 130 W 160 W 170 W 175 W 180 W CMOS Digital Integrated Circuits 3 rd Edition

11 Decreasing Supply Voltage YEAR TECHNOLOGY 130 nm 100 nm 70 nm 50 nm 35 nm CHIP SIZE 400 mm mm mm mm mm 2 NUMBER OF TRANSISTORS (LOGIC) DRAM CAPACITY MAXIMUM CLOCK FREQUENCY MINIMUM SUPPLY VOLTAGE MAXIMUM POWER DISSIPATION MAXIMUM NUMBER OF I/O PINS 400 M 1 Billion 3 Billion 6 Billion 16 Billion 2 Gbits 10 Gbits 25 Gbits 70 Gbits 200 Gbits 1.6 GHz 2.0 GHz 2.5 GHz 3.0 GHz 3.5 GHz 1.5 V 1.2 V 0.9 V 0.6 V 0.6 V 130 W 160 W 170 W 175 W 180 W CMOS Digital Integrated Circuits 3 rd Edition

12 5-layer cross-section of chip 20 CMOS Digital Integrated Circuits 3 rd Edition

13 .. - Passlmloo Global Dlaleemric.,. diffusl'on barrier Intermediate LocaE 21 CMOS Digital Integrated Circuits 3 rd Edition

14 System-on-Chip Integrating all or most of the components of a hybrid system on a single substrate (silicon or MCM), rather than building a conventional printed circuit board. 1. More compact system realization 2. Higher speed / performance Better reliability Less expensive! 22 CMOS Digital Integrated Circuits 3 rd Edition

15 Comm µc I MPEG 2 Yideo detoder Subp cture and OSD proce!sor Scalelf -::!l c:r. c:: 0 > Q.:...:m... CSS Audio Ollllplllt Processor Audio FreqJJ@11cy Synt~i1er '"=i== c D/A A111d lo O llt?llt. S,ste~n Frequency S y11tllesi zer -3.e, 3 M emary lnm~r I :'. M a_naget"jlllllt 32-bit Unit RISC CPU.,,,. j SD RAM 23 CMOS Digital Integrated Circuits 3 rd Edition

16 New Direction: System-on-Chip (SoC) ASIC Core Memory Analog Functions Sensor Interface Communication Embedded Processor Core 24 CMOS Digital Integrated Circuits 3 rd Edition

17 The Y-Chart Notice: There is a need for structured design methodologies to handle the high level of complexity! 29 CMOS Digital Integrated Circuits 3 rd Edition

18 Simplified VLSI Design Flow Top-down Bottom-up 30 CMOS Digital Integrated Circuits 3 rd Edition

19 Top-down vs. bottom-up design Top-down design adds functional detail. - Create lower levels of abstraction from upper levels. Bottom-up design creates abstractions from low-level behavior. Good design needs both top-down and bottom-up efforts. 43

20 VLSI Design Cycle [ l I J I e t Behavioral VHDL, C 10 St ructural.. w VHDL ]... I ! ' ' X=(AB*CD)+ (A+D) Y=(A(B+q+ AC+D) 44

21 VLSI Design Cycle System Specification - A high level representation of the system - Considered factors» Performance Fabrication Technology» Functionality H De)ignTechniques» Physical dimensions (die size) - Result Specs - size, speed, power, and functionality 45

22 VLSI Design Cycle Architectural Design - RISC (Reduced Instruction Set Computer) versus CISC (Complex Instruction Set Computer) - Number of ALUs, Floating Point Units - Number and structure of pipelines - Cache size - Prediction on die size, power, and speed based on existing design - Early estimation are very important here 46

23 VLSI Design Cycle Behavioral or Functional Design - Only behavior and timing without implementation issue - Specify behavior based on Input+ output+ timing - Fast emulation and debugging for the system elk); begin if (enable_ == l 'bo) data= O; else data = dat.a + 1; end 47

24 HDL-Based Design 1980 s Hardware Description Languages (HDL) were conceived to facilitate the information exchange between design groups s The increasing computation power led to the introduction of logic synthesizers that can translate the description in HDL into a synthesized gate-level net-list of the design s Modern synthesis algorithms can optimize a digital design and explore different alternatives to identify the design that best meets the requirements. 45 CMOS Digital Integrated Circuits 3 rd Edition

25 VLSI Design Cycle Logic Design - Control flow, word widths, register allocation, arithmetic operations, and logic operations - RTL (Register Transfer Level) - HDL (Hardware Description Language)» Verilog - most popular» VHDL - Europe and Eastern» Literal + Timing Information X=(AB CD)+ (A-r D) Y= (A(B- C)-r AC+ D) Boolean Expression Timing lnfonnarion 48

26 VLSI Design Cycle Logic Design - More actual simulation and testing a---- b---- c---- d---- () 1 sa 1 sa CJ Y - High Level Synthesis: Produce a RTL description from a behavioral description of the design 49

27 VLSI Design Cycle Circuit Design - Boolean Expression - Circuit Elements (Cells, Macros, Gates, Transistors) + Interconnection - Each component has specific timing and power Info. - Circuit Simulation : Verify the correctness and timing - Terms - Netlist, Schematic - Logic Synthesis Tools : RTL -- Netlist 50

28 VLSI Design Cycle Physical Design - Netlist - Layout (Geometry Representation)» Design rules of applied fabrication process - Layout Synthesis Tools» Automatic conversion (Fully/Partially)» Area and performance penalty - Crucial Challenges - Area/Delay

29 VLSI Design Cycle Fabrication - Layout~ Photo-lithographic mask» One mask for each layer - Wafer : Silicon crystal are grown & sliced - Deposition, and diffusion of various materials on the wafer : each step uses one mask - Term : Tape Out, 8 inch/20cm, 12 inch/30cm - -, 'I J " ', '- ~ 52...,-

30 VLSI Design Cycle Packaging, Testing, and Debugging - For PCB (Printed Circuit Board) : DIP (Dual In-line Package), PGA (Pin Grid Array), BGA (Ball Grid Array), and QFP (Quad Flat Package) - For MCM (Multi-Chip Modules): no packaged - Testing» Before Package - Probe line testing» After Package - Tester machine applies test patterns. 53

31 Structured Design Principles Hierarchy: Regularity: Modularity: Locality: Divide and conquer technique involves dividing a module into submodules and then repeating this operation on the sub-modules until the complexity of the smaller parts becomes manageable. The hierarchical decomposition of a large system should result in not only simple, but also similar blocks, as much as possible. Regularity usually reduces the number of different modules that need to be designed and verified, at all levels of abstraction. The various functional blocks which make up the larger system must have well-defined functions and interfaces. Internal details remain at the local level. The concept of locality also ensures that connections are mostly between neighboring modules, avoiding long-distance connections as much as possible. 31 CMOS Digital Integrated Circuits 3 rd Edition

32 Hierarchy of a 4-bit Carry Ripple Adder 32 CMOS Digital Integrated Circuits 3 rd Edition

33 Regularity 2-input MUX DFF 37 CMOS Digital Integrated Circuits 3 rd Edition

34 VLSI Design Styles FPGA 38 CMOS Digital Integrated Circuits 3 rd Edition

35 Full Custom Design Following the partitioning, the transistor level design of the building block is generated and simulated. The example shows a 1-bit full-adder schematic and its SPICE simulation results. 39 CMOS Digital Integrated Circuits 3 rd Edition

36 Full Custom Design The main objective of full custom design is to ensure fine-grained regularity and modularity. 40 CMOS Digital Integrated Circuits 3 rd Edition

37 Full Custom Design A carefully crafted full custom block can be placed both along the X and Y axis to form an interconnected two-dimensional array. Example: Data-path cells 41 CMOS Digital Integrated Circuits 3 rd Edition

38 Full Custom SRAM Cell Design 42 CMOS Digital Integrated Circuits 3 rd Edition

39 Mapping the Design into Layout Manual full-custom design can be very challenging and time consuming, especially if the low level regularity is not well defined! 43 CMOS Digital Integrated Circuits 3 rd Edition

40 VLSI Design Styles FPGA 44 CMOS Digital Integrated Circuits 3 rd Edition

41 Standard Cells AND DFF INV XOR 47 CMOS Digital Integrated Circuits 3 rd Edition

42 Standard Cells 48 CMOS Digital Integrated Circuits 3 rd Edition

43 Standard Cells 49 CMOS Digital Integrated Circuits 3 rd Edition

44 Standard Cells Rows of standard cells with routing channels between them Memory array 50 CMOS Digital Integrated Circuits 3 rd Edition

45 Standard Cells 51 CMOS Digital Integrated Circuits 3 rd Edition

46 VLSI Design Styles FPGA 52 CMOS Digital Integrated Circuits 3 rd Edition

47 Mask Gate Array 53 CMOS Digital Integrated Circuits 3 rd Edition

48 Mask Gate Array Before customization 54 CMOS Digital Integrated Circuits 3 rd Edition

49 VLSI Design Styles FPGA 55 CMOS Digital Integrated Circuits 3 rd Edition

50 Field Programmable Gate Array 56 CMOS Digital Integrated Circuits 3 rd Edition

51 Field Programmable Gate Array Internal structure of a CLB 57 CMOS Digital Integrated Circuits 3 rd Edition

52 Field Programmable Gate Array 58 CMOS Digital Integrated Circuits 3 rd Edition

53 1.2 Objective and Organization of the Book Increasing Conl>lexily Device Eleetronlcl Two-Translstot Cin:ults (ln1111ners) Combinational and Sequential Logic Circuits.. i. Regular Structures, VLSI Sub-SystemS ROMS, RAMs, Pl.As : Adde1'8, Multipliers System-Related Issues. Relabltlty, Manufaclurablllty, Tes1al>lllty Breadth of Toplcs Fig11rt 1.4. The ordering of topics covered in a typical digital integrated circuits course.

54 'II""' Cln:uta TSPC L.ocfe Cl... P1611r, J.S. CVSL: Cascade Voltage Switch Logic NORA: NO RAce circuits TSPC: True-Single Phase Clock Clan,ficalion of CMOS digilal circuit ty~s. 25

55 1.3 A Circuit Design Example Syst om Roquir oment.s - Architecture Definition and Logic O eslgn Logic d iagr-am I description, ~ VLSI Design nd ~yout Teenno1<>gy Design A Uies Oovico Modola Fall : =~~~~-~ :~~~~:~~~~ ~~~~~-~~~~~~:.~~=~~------_] Pass Mask Genor-atlon Silicon Processing T o : Wt11fer Testing Packaging Reliability Ouoliticotion Figure 1.e The flow of c ircuit design procech. re-s. 27

56 One-bit Full-Adder Circuit Design: Using O.Bum twin-well CMOS technology with specification: 1) Propagation delay times of sum and carry-out signals <1.2ns (worst) 2) Transition delay times of sum- and carry-out signals < 1.2ns (worst) 3) Circuit area < 1500 µ m 2 4) Dynamic power dissipation (@V 00 = 5V and fmax = 20MHz) < 1mW A B c Full Adder sum_out carry_out Sum out= AEBBEBC - = ABC+ABC+ABC+ABC Carry_out =AB+ AC+ BC Sum_out =ABC+ (A+B+C)carry_out ABC sum_out carry_out

57 r --, ~ A B c carry_out ~ A B c sum - - ' Figure 1. 7 Gate-level schematic of the one-bit full-adder circlit 29

58 I c---1 f-- A A---1 e---1 f-e f--a A---1 e---l c---l f-- c f--e -:: '"m Figure 1.8 Transistor-level schematic of the one-bit fud adder circuit. 30

59 Yoo Ill,.----, a-, r e,., o-, c-, 1-c ;lll'!y. Wt I-a c---4 }-A r" Yoo c~ ~ A I-A A~ 8~ ~8 A--l a--j c--l l- 11 l\lffl Figure 1,9 Alternate transistor-level schematic of the one-bit full-adder circuit (note that the nmos and pmos networks are completely symmetric). 31

60 B c I I 1 I. '! i I. I CO P"oly in verti:::: ~~tal in horizontal. SUM Figure 1.10 Initial layout of the full-adder circuit using minimum-size transistors. Area= 21X54 um 2 = 1134 um 2 32

61 ... SPICE simulation ~~ s.zc.,:-.,.. Ai:k::lil!l,r-. (.atn:x:t~ C-,, N. :I ~ a s.a :I l I ] f ~,.... l n I ~:i... r---- ~ ~~ ~ t : I:kc:\.... n. -. ~ ~~~...::;::;::._~...::;;:::::::::::;::===:;::::::::...._.., -~ - 2 ~ iolate 1.2ns ~load Figure r.. 9. Simulated input and ou1j:)ut waveforms ot the ~adder-cirouit. I

62 - in s ut...---,/ ( INP17r CAJ\JtY -- I SVK ;~... - > ~ ]" 2. s 2 j l _,/sum_out l \ Time ( 11 ) Figure Simulated ouqx.it waveforms of the full adder circuit with minimum transistor droensions. showing the signal propagabori delay during one of the worst-case transitions. 34

63 -VDD A B c ] Area = 43X30 um 2 = 1290 um2 SUM GND c;::j OIFF. D NWELL DP+ - POLY O MET- 1 - MET-2 Figure 1.13 Modified layout of the full-adder circuit, with optimized transistor dimensions. 35

64 - > 41 'O :, u... j Worst Case Delay, Optimized Size Transiscors, EXTRACTED - UlPlIT - CARRY sum SUM o o-.oo - uo ~ (\carry \ l f : I : : - :-- ;- '- - 1 i. ' I. --t - +:,<1.23ns ' ; ; r \! ~ : _...,- '---..,...:..'.. ~../ -+- :\ ' - 'a::......_ J, 22 T.ime (ns) Figure 1.14 Simulated output wavef0<ms of the full-adder circuit with optimized transistor dimensions, showing the signal propagation delay during the same worst-case transition.. Power dissipation= 460 µ W 36

65 s, Full Adder c, (FA) Full Adder (FA) C2 Full Adder C3 C, Full Adeler (FA) (FA) Rgur Block diagram of a cany ripple aclder chain consisting of full adders. Si., s, s., s~ Figure Mask layout ol the 4 bt carry npple adder array. 37

66 &I r'1a,,,,,_,. A ll!olt) ln 1 191! J,p l'l (Ob'lI I:t 1 Im lu IP ri...,.,. = Ml(U su,.1(1) ~-:====~--... ~ ';::::==::;-' 51.11,1(~~---~ :..~;----';:::: SUU(S) ----~ rl Sl.l\lCQ ::======== ~r--, - ~:;--~J SUWl'1) ~ SlN(WSll~l----~---~"----'.. ~ 7 : C...,... el loll Mot,,, 51«. -~.ot::l = -~-[,~4.- Ho ~~l:.::::, : =9'.M(l')=:::=,...::::::::; ~, ~... ~... -.Ul.:...,!:;---,-----,... n,.---, ~...,. ~ V: ::\===:=../ H ~ll...:;;:;::;::;:;;:~'~~~~~...!::::=;:;::;::.:::;:;:...,_...:.:::.;::.:= -~...,... ::=]...,.. J = _:3 ).)..., I Flgure 1.17 Slmu1ated Input and output waveforms of the 8-bit carry ripple adder circuit. showing a maximum signal propagation delay of about 7 ns.

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Elettronica T moduli I e II

Elettronica T moduli I e II Elettronica T moduli I e II Docenti: Massimo Lanzoni, Igor Loi Massimo.lanzoni@unibo.it igor.loi@unibo.it A.A. 2015/2016 Scheduling MOD 1 (Prof. Loi) Weeks 39,40,41,42, 43,44» MOS transistors» Digital

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Lecture 4: MIPS Processor Example

Lecture 4: MIPS Processor Example Lecture 4: MIPS Processor Example Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Design Partitioning MIPS Processor Example Architecture Microarchitecture

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Introduction. Sungho Kang. Yonsei University

Introduction. Sungho Kang. Yonsei University Introduction Sungho Kang Yonsei University Outline VLSI Design Styles Overview of Optimal Logic Synthesis Model Graph Algorithm and Complexity Asymptotic Complexity Brief Summary of MOS Device Behavior

More information

EE3032 Introduction to VLSI Design

EE3032 Introduction to VLSI Design EE3032 Introduction to VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

CMOS VLSI Design. MIPS Processor Example. Outline

CMOS VLSI Design. MIPS Processor Example. Outline COS VLSI Design IPS Processor Example Outline Design Partitioning IPS Processor Example Architecture icroarchitecture Logic Design Circuit Design Physical Design Fabrication, Packaging, Testing Slide 2

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Introduction to CMOS VLSI Design Lecture 2: MIPS Processor Example

Introduction to CMOS VLSI Design Lecture 2: MIPS Processor Example Introduction to CMOS VLSI Design Lecture 2: MIPS Processor Example David Harris Harvey Mudd College Spring 2004 Outline Design Partitioning MIPS Processor Example Architecture Microarchitecture Logic Design

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Lecture 2: MIPS Processor Example

Lecture 2: MIPS Processor Example Introduction to CMOS VLSI Design Lecture 2: MIPS Processor Example Outline Design Partitioning MIPS Processor Example Architecture t Microarchitecture Logic Design Circuit Design Physical Design Fabrication,

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient ISSN (Online) : 2278-1021 Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient PUSHPALATHA CHOPPA 1, B.N. SRINIVASA RAO 2 PG Scholar (VLSI Design), Department of ECE, Avanthi

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010 Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 5- Chapter 5 :: Digital Building Blocks Digital Design and Computer Architecture David Money Harris and Sarah

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1 E40M MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers M. Horowitz, J. Plummer, R. Howe 1 Reading Chapter 4 in the reader For more details look at A&L 5.1 Digital Signals (goes in much

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Advanced Synthesis Techniques

Advanced Synthesis Techniques Advanced Synthesis Techniques Reminder From Last Year Use UltraFast Design Methodology for Vivado www.xilinx.com/ultrafast Recommendations for Rapid Closure HDL: use HDL Language Templates & DRC Constraints:

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

Lecture 3 Introduction to VHDL

Lecture 3 Introduction to VHDL CPE 487: Digital System Design Spring 2018 Lecture 3 Introduction to VHDL Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 Managing Design

More information

Introduction to ASICs. ni logic Pvt. Ltd., Pune

Introduction to ASICs. ni logic Pvt. Ltd., Pune Introduction to ASICs ni logic Pvt. Ltd., Pune The Wonderful World of Silicon About every two years, the number of transistors on a CMOS silicon chip doubles and the clock speed doubles..this rate of improvement

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

CMPEN411 Memory Chip Design Project Report

CMPEN411 Memory Chip Design Project Report THE PENNSYLVANIA STATE UNIVERSITY CMPEN411 Memory Chip Design Project Report RAM64X6,SERIAL RAM 64X4 and DPRAM64X4 Qianqian Zhang 3/9/2012 A chip fabricated through MOSIS 1 Table of Content Chapter 1 Introductory...

More information

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program MOSIS Chip Test Report Dan Suo File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program Technology: 0.5um CMOS, ON Semiconductor

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

Topics. FPGA Design EECE 277. Interconnect and Logic Elements Part 2. Laboratory Assignment #1 Save Everything!!! Guest Lecture

Topics. FPGA Design EECE 277. Interconnect and Logic Elements Part 2. Laboratory Assignment #1 Save Everything!!! Guest Lecture FPGA Design EECE 277 Interconnect and Logic Elements Part 2 Dr. William H. Robinson February 4, 2005 http://eecs.vanderbilt.edu/courses/eece277/ Topics The sky is falling. I must go and tell the King.

More information

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier CHAPTER 3 METHODOLOGY 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier The design analysis starts with the analysis of the elementary algorithm for multiplication by

More information

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design Curtis Nelson Walla Walla College Slide 1 Course organization History of the integrated circuit Trends in the semiconductor industry System design versus custom chip design Top down design Bottom-up implementation

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

ECE 3220 Digital Design with VHDL. Course Information. Lecture 1

ECE 3220 Digital Design with VHDL. Course Information. Lecture 1 ECE 3220 Digital Design with VHDL Course Information Lecture 1 Course Information Course #: ECE 3220 Course Name: Digital Design with VHDL Course Instructor: Dr. Vida Vakilian Email: vvakilian@csub.edu

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2 FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 1 & 2 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Verilog HDL by Samir Palnitkar.

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: January 2, 2018 at 11:23 CS429 Slideset 5: 1 Topics of this Slideset

More information

Fundamental Design Concepts. Fundamental Concepts. Modeling Domains. Basic Definitions. New terminology and overloaded use of common words

Fundamental Design Concepts. Fundamental Concepts. Modeling Domains. Basic Definitions. New terminology and overloaded use of common words Fundamental Design Concepts Fundamental Concepts Basic Definitions study now revisit later New terminology and overloaded use of common words Modeling Domains Structural Domain a domain in which a component

More information

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No #1 Introduction So electronic design automation,

More information