November 11, 2009 Chang Kim ( 김창식 )

Size: px
Start display at page:

Download "November 11, 2009 Chang Kim ( 김창식 )"

Transcription

1 Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1

2 2 Where we are!!!

3 Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm Moore s Law 32nm process Technology Ready 3

4 History of DRAM Price per Megabit (Source: DQ) DRAM has maintained a 32% average reduction per year in Price per Megabit, over 34 years! Over four years, this is approximately a 5x reduction in price per Megabit 4

5 New Trend has been increasing complexity TSV Module MCP SOC + Memory + Analog POP SIP 5

6 Higher Speed, Higher Density, More Core Higher Density Core #1 Core #2 RF GDDR5 Higher Speed Core #3 Multi Core 6

7 Known Good Die TSV Module MCP KGD required Speed, Functionality, Reliability POP SIP It s a dream!? 7

8 Known Good Die TSV Module MCP but still MGD.. Maybe Good Die? POP SIP IDEA!!! 8

9 Overall ATE Market ATE TAM History - Worldwide Revenue ($M) 7,000 6,574 6,000 5,000 5,232 Memory ATE TAM 5,136 SOC ATE TAM 4,000 4,233 4, ,831 ATE TAM 3,430 3,000 3,074 2,603 2,348 2,000 Economic Crisis i 1,000 IT Bubble

10 Solution for Test COST Higher Parallelism Concurrent Protocol Aware Per-Pin Pi PMU Single Platform 10

11 History of Multi Parallel Testing DRAM SOC 64-site 256-site 512-site 128-site 2 or 4-site 16-site 32-site 64-site 8-site Multi-site capability is the key strategy to achieve low cost of test Test technology has been aligned to high site count parallel test strategy 11

12 How to achieve Higher Parallelism IBC Internal Bit Compress SPC Small Pin Count BOST Build off self-test Today Memory >512 site DFT Design for Testability BIST Built-in self-test Channel Sharing TIU Test Interface Unit SOC >32 site ATE 12

13 ATE Requirement for Higher Parallelism New Higher Density Options for higher-site parallel Higher Performance for new technology Track Serial Data Rates -PCIe, SATA Increase Port Density Digital Channels Track HS DRAM Data Rates DDR2 DDR3/4 GDDR5 Increase Digital Pin Density. Track Mobile DDR Rates. Protocol Aware features Analog Channels Track converter resolution and SNR Combine Audio, Baseband, Video capabilities Increase source and digitizer density Higher Density Power Supplies for Parallel Memory and SOC Test DPS Channels Higher Density DC (Per Pin PMU) for Integrated Power Management Functions 13

14 Solution for Test COST Higher Parallelism Concurrent Protocol Aware Per-Pin Pi PMU Single Platform 14

15 Concurrent Testing- Device-Centric Test Time Reduction Parallel test execution of independent functional cores in the device DUT Core #1 Core #2 Core #3 *Device must be designed with independent cores ow Test Fl Serialized Flow Core #1 Tests Core #2 Tests s Core #3 Tests 2 Levels Concurrency Core #1 Tests Core #2 Tests re 3 sts Co #3 Tes 3 Levels Concurrency Core #1 Tests Core #2 Tests Cor re #3 Tes sts Etc Benefits: Reduce COT via parallel test of cores within a SOC or dies within a SIP Characterize the operation of cores running simultaneously Reduce Time to Market via re-use of modular test programs 15

16 Concurrent Testing - Independent Resources Per-Core Tester Slice per-core Environment DC Instruments 1900MHz 1.536MHz DC Instruments Digital Instruments AC Instruments Microwave Transceiver PLL Audio Converters AC Instruments Digital Instruments DSP DC Instruments 9.5MHz PMIC DSP MCU Memory I/F DDR Interface 266MHz DSP Digital Instruments Digital Instruments Advantages Independent d test t development of each core Independent timing, signals, resources, processing Computer Synchronous phase start of clocks and patterns Advantages Able to synchronize across tests Able to support concurrency with shared device resources 16 *

17 Solution for Test COST Higher Parallelism Concurrent Protocol Aware Per-Pin Pi PMU Single Platform 17

18 Chip Design Evolution Time Design T CPU Complex SOC Mobile and Baseaband Increasing Chip complexity 18

19 Async Chip Creates Non-Deterministic Test G DDR 1.6 6Gbps DDR Interface PCI Express 16 Lanes 2.5Gbps rface PCIE Inte Graphics Processor TDMS Inte erface TMDS/HMDI 3 Pairs 1.6Gbps PCI Express Graphics Data Idle Graphics Data Idle Graphics Data DDR Interface RD Idle WR RD WR Idle WR Idle TDMS Interface Disp Data Disp Data Disp Data Disp Data Idle Disp Data 19

20 Async Chip Creates Non-Deterministic Test Protoco ol Synchroniz zation & Com mmunication Protocol Aware ATE PCI Express 16 Lanes 2.5Gbps Protocol Synchronization & Communication PCIE Interf face GDD DR 1.6Gb bps DDR Interface Graphics Processor TDMS Inter rface TMDS/HMDI 3 Pairs 1.6Gbps Protoco l Synchroniza ation & Comm munication Stored Response ATE Execute fixed pass/fail vectors Slave DUT to tester Convert Design Information to Tester Language Protocol Level ATE Interact with DUT using standard protocols (PCI-E, I2C, USB, etc) Adapt tester to DUT Use RTL level commands directly on tester 20

21 Protocol Aware attributes of a new solution Accommodate Functional Test: less 200DPM Low test cost Fits the normal 5-10sec test model No massive infrastructure changes Accommodate Non-Determinism i Minimum: Idle deletion Preferred: Non-Deterministic Sequence Allow the device to operate in mission i mode Reduce Time to Market User operates at transaction level Operates more like bench fixture Test time reduced: Several Months Weeks Memory solution Design verification through variable frequency for Mobile solution Reusable application testing instead of SLT Just Do It 21

22 Solution for Test COST Higher Parallelism Concurrent Protocol Aware Per-Pin Pi PMU Single Platform 22

23 DC Test Time is Becoming More Critical Problem: As DRAM makers drive test costs down by reducing test time, DC test becomes es a larger percentage of test time 60.0% 50.0% Big Cost of Test Issue! % DC Test Tim me 40.0% 30.0% 20.0% TT Down Historically not a big problem Major trends are parallel-up and test time-down. 10.0% 0.0% Test Time (sec) 23

24 DC Test Cost Solution DC Test Time is reduced drastically with Today s solution fast with no loss of test coverage or reduced quality. 60.0% 50.0% 0% % DC Test Time 40.0% 30.0% 20.0% 0% Cost of Test Shared PMU Cost of Test Advantage: + DC Test time is very short + No loss in DC test coverage + Short test time at 10.0% Per-Pin PMU higher parallelism 0.0% Test Time (sec) 24

25 Solution for Test COST Higher Parallelism Concurrent Protocol Aware Per-Pin Pi PMU Single Platform 25

26 Single platform Trend FLASH LPDDR GDDR DDR3 Power FLASH DRAM Power SOC RF SOC RF IMAGE IMAGE Multi Platform Optimizing current market requirement Easy concept Short life cycle time Single Platform Think next generation Less Investment Long life cycle time (Reuse) 26

27 Conclusion - What is the cost saving way! Time to Market Test Coverage Pi Price Upgrade Cost Engineering tools Accuracy Test Time Utilization # of Parallel Life cycle BOST SLT ( 실장 ) ATE ATE still provides cost effective way and huge benefit!!! 27

28 Conclusion What is test? Cost! Cost down 요구는계속증가 ATE has created new technology and solution. o Higher Parallelism o Concurrent o Protocol Aware o Per-Pin PMU o Single Platform ATE keeps trying to find out a breakthrough! 28

29 29 Thank you!

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA

Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA Recent IoT/Automotive device Trends and testing challenges Presented To: SiP Conference China 2018 Presented By: Kotaro HASEGAWA 2018/10/19 All Rights Reserved - ADVANTEST CORPORATION 1 IoT Market Trend

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Korea Semiconductor Test Now and the Future Tim Moriarty

Korea Semiconductor Test Now and the Future Tim Moriarty . Korea Semiconductor Test Now and the Future Tim Moriarty Vice President, Teradyne, Inc. President, Nextest Systems Corp. June, 2009 Key Note Topics Does Test Matter? Korea Today as a Leader Joint Innovation

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

performance, selling prices. technologies must continuously high-speed

performance, selling prices. technologies must continuously high-speed V930000 HSM HSM6800 Product Overview Industry Challenges High end workstation, w desktop and laptop PCs, computer servers, performance graphics cards, dynamic game consoles, high end video/hdtv, computer

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

X-Series Test Platform

X-Series Test Platform Focused, Cost-optimized ATE Solutions Configurations for optimal testing of DSP, power, automotive, mixed-signal and RF applications Focused Applications The X-Series is a comprehensive semiconductor test

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Designing Next Generation Test Systems An In-Depth Developers Guide

Designing Next Generation Test Systems An In-Depth Developers Guide An In-Depth Developers Guide Designing Next Generation Test Systems An In-depth Developers Guide Contents Section 1 Executive Summary Chapter 1 Increasing Design Complexity...1-1 Shorter Product Development

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

High-speed I/O test: The ATE paradigm must change

High-speed I/O test: The ATE paradigm must change High-speed I/O test: The ATE paradigm must change 2005 VLSI Test Symposium Session 4C Burnie West May 2005 Outline The brave new world Test methodology PHY testing Functional testing ATE specifications

More information

ni.com High-Speed Digital I/O

ni.com High-Speed Digital I/O High-Speed Digital I/O Interfacing with Digital I/O Design Verification & Validation Production Characterization Protocol communication Parametric testing DUT control Limit testing Stress testing BERT

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Burn-in & Test Socket Workshop WELCOME March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona Sponsored By The IEEE Computer Society Test Technology Technical Council tttc COPYRIGHT NOTICE The

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

High-Speed NAND Flash

High-Speed NAND Flash High-Speed NAND Flash Design Considerations to Maximize Performance Presented by: Robert Pierce Sr. Director, NAND Flash Denali Software, Inc. History of NAND Bandwidth Trend MB/s 20 60 80 100 200 The

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper. Copyright 2004 Institute of Electrical and Electronics Engineers, Inc. Reprinted, with permission, from Semicon Europa EMTC in Munich on April 20, 2004, "Practical Design Methodologies that Enable Concurrent

More information

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Intel Braswell SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10,

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner

Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner Use of Resource Sharing Techniques to Increase Parallel Test and Test Coverage in Wafer Test Michael Huebner FormFactor, Inc Mo>va>on With increasing test >mes/dut and die per wafer, test >me/wafer and

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Testing High Speed Memory Interface: A case for FPGA based Test systems?

Testing High Speed Memory Interface: A case for FPGA based Test systems? a g 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10, fixture

More information

Semiconductor/IC Test Solutions

Semiconductor/IC Test Solutions Semiconductor/IC Test Solutions Wafer/Chip/Package www.chromaate.com Turnkey Test & Automation Solution Provider Chroma ATE Inc, as a turnkey test & automation solution provider, integrates customized

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

AXIe : AdvancedTCA Extensions for Instrumentation and Test. Autotestcon 2016

AXIe : AdvancedTCA Extensions for Instrumentation and Test. Autotestcon 2016 AXIe : AdvancedTCA Extensions for Instrumentation and Test Autotestcon 2016 Copyright 2016 AXIe Consortium, Inc. * AdvancedTCA is a registered trademark of PICMG. AXIe is a registered trademark of the

More information

Looking Ahead to Higher Performance SSDs with HLNAND

Looking Ahead to Higher Performance SSDs with HLNAND Looking Ahead to Higher Performance SSDs with HLNAND Roland Schuetz Director, Applications & Business Initiatives MOSAID Technologies Inc. Soogil Jeong Vice President, Engineering INDILINX Infrastructure

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Why Next-Generation NAND Flash Requires a Dedicated Test Solution

Why Next-Generation NAND Flash Requires a Dedicated Test Solution 1 Why Next-Generation NAND Flash Requires a Dedicated Test Solution By Ken Hanh Lai, Advantest America, Inc., Product Marketing Manager Introduction During the next four years, the NAND Flash market will

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

Technical Document. Model: F120 Name: F120 PCBA Service Manual No.: Version: V1.0. Check Sign. Standard Approved

Technical Document. Model: F120 Name: F120 PCBA Service Manual No.: Version: V1.0. Check Sign. Standard Approved Technical Document Model: F120 Name: F120 PCBA Service Manual No.: Version: V1.0 Drawn Check Sign wanglinwen Standard Approved Amendment Records No. Version Drawn/ Brief Date Reason Amender Introduction

More information

The Rubber Jigsaw Puzzle

The Rubber Jigsaw Puzzle The Rubber Jigsaw Puzzle Floorplanning for network-on-chip (NoC) Benjamin Hong ( 홍병철 ), Brian Huang ( 黃繼樟 ) presented by Jonah Probell Arteris, Inc. September 18, 2015 SNUG Austin SNUG 2015 1 Thanks to

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG ARM and x86 on Qseven & COM Express Mini Zeljko Loncaric, Marketing Engineer, congatec AG Content COM Computer-On-Module Concept Qseven Key Points The Right ARM Integration with Freescale i.mx6 Qseven

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014 Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014 Spreadtrum and Product Introduction TD- SCDMA GSM WCDMA FDD-LTE TD-LTE

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

An introduction to SDRAM and memory controllers. 5kk73

An introduction to SDRAM and memory controllers. 5kk73 An introduction to SDRAM and memory controllers 5kk73 Presentation Outline (part 1) Introduction to SDRAM Basic SDRAM operation Memory efficiency SDRAM controller architecture Conclusions Followed by part

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

Universal Wireless Test Set MT8870A. Product Introduction

Universal Wireless Test Set MT8870A. Product Introduction Universal Wireless Test Set MT8870A Product Introduction Contents Anritsu Mobile Communications Equipment Test Solution MT8870A Product Introduction - Test set for Manufacturing - MT8870A/MU88700xA Features

More information

T Efficient Test Solution for Integrated Power Devices

T Efficient Test Solution for Integrated Power Devices T2000 - Efficient Test Solution for Integrated Power Devices Integrated Power Device Test Challenge Device markets - Automotive - Industrial/Medical - Consumer (e.g. Power Management) Device characteristics

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Increase Your Test Capabilities with Reconfigurable FPGA Technology

Increase Your Test Capabilities with Reconfigurable FPGA Technology Increase Your Test Capabilities with Reconfigurable FPGA Technology CTEA Electronics Symposium Ryan Verret Senior Product Manager FPGA Technology for Test National Instruments Graphical System Design A

More information

Cisco High-Density Packet Voice Digital Signal Processor Module for Cisco IP Communications Solution

Cisco High-Density Packet Voice Digital Signal Processor Module for Cisco IP Communications Solution Cisco High-Density Packet Voice Digital Signal Processor Module for Cisco IP Communications Solution Product Overview The Cisco High-Density Packet Voice Digital Signal Processor (DSP) Module (PVDM2) enables

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

ECE 2162 Intro & Trends. Jun Yang Fall 2009

ECE 2162 Intro & Trends. Jun Yang Fall 2009 ECE 2162 Intro & Trends Jun Yang Fall 2009 Prerequisites CoE/ECE 0142: Computer Organization; or CoE/CS 1541: Introduction to Computer Architecture I will assume you have detailed knowledge of Pipelining

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011

40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 40Gbps+ Full Line Rate, Programmable Network Accelerators for Low Latency Applications SAAHPC 19 th July 2011 Allan Cantle President & Founder www.nallatech.com Company Overview ISI + Nallatech + Innovative

More information