Chapter 4: Introduction to Logic Design with Verilog. Chapter 4 Copyright 2013 G. Tumbush v1.3

Size: px
Start display at page:

Download "Chapter 4: Introduction to Logic Design with Verilog. Chapter 4 Copyright 2013 G. Tumbush v1.3"

Transcription

1 Chapter 4: Introduction to Logic Design with Verilog 1

2 Hardware Description Language Verilog Background Verilog created at Gateway Design Automation in 1983/1984 Cadence Design Systems purchased Gateway in 1989 Originally intended for simulation, synthesis support added later Cadence transferred Verilog to public domain Verilog becomes IEEE Standard and is known as Verilog-95 Extensions to Verilog-95 submitted to IEEE IEEE Standard , a.k.a. Verilog-2001 Minor corrected submitted to IEEE in 2005 IEEE Standard , a.k.a. Verilog-2005 Last Verilog standard is IEEE Std Verilog RTL synthesis standard is IEEE But

3 Verilog/SystemVerilog In 2009, the IEEE merged the Verilog and the SystemVerilog extensions ( ) into a single document. For reasons the authors have never understood, the IEEE chose to stop using the original Verilog name, and changed the name of the merged standard to SystemVerilog. The original 1364 Verilog standard was terminated, and the IEEE ratified the SystemVerilog-2009 standard as a complete hardware design and verification language. In the IEEE nomenclature, there is no longer a current Verilog standard. There is only a SystemVerilog standard. Since 2009, you have not been using Verilog...you have been designing with and synthesizing SystemVerilog! (The IEEE has subsequently released a SystemVerilog-2012 standard, with additional enhancements to the original, now defunct, Verilog language.) Source: Synthesizing SystemVerilog Busting the Myth that SystemVerilog is only for Verification, Stuart Sutherland and Don Mills 3

4 What is Verilog? Verilog is a hardware description language (HDL) that allows complex systems to be described in a textual format. Verilog increases productivity and maintainability A B C X or B or C) begin if (A && B && C) X=1; else if (!A &&!B &&!C) X=1; else X=0; end Allowed designers to keep up with Moore s law 4

5 Keywords The Verilog Language Reference Manual (LRM) specifies a syntax that precisely describes the allowed constructs. Verilog is case sensitive All keywords are lowercase Never use Verilog keywords as unique names, even if case is different Verilog is composed of approximately 100 keywords and always assign attribute begin buf bufif0 bufif1 case cmos deassign default defparam disable else endattribute end endcase endfunction endgenerate endprimitive endmodule endtable endtask event for force forever fork function generate genvar highz0 highz1 if initial inout input integer join large medium module nand negedge nor not notif0 notif1 nmos or output parameter pmos posedge primitive pulldown pullup pull0 pull1 rcmos reg release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared small specify specparam strong0 strong1 supply0 supply1 table task tran tranif0 tranif1 time tri triand trior trireg tri0 tri1 vectored wait wand weak0 weak1 while wire wor

6 6

7 4.1 Structural Models of Combinatorial Logic The basic building block in Verilog is a module. Verilog 1995 syntax: module <module name> (<list of module ports>); <Direction of ports> < Structural and functional details> endmodule Verilog 2001 syntax: module <module name> (<list of module ports with direction>); < Structural and functional details> endmodule Best practice is to have only one module per file and name file same as module name Exception for library files that contain multiple cell definitions 7

8 Gate Level Modeling Basic Gates or, nor, and, nand xor, xnor, not, buf (not, buf not shown) Syntax GATE (drive_strength) # (delays) instance_name1(output, input_1, input_2,..., input_n)

9 Three-State Gates Gate Level Modeling High impedance is symbolized by z in Verilog If checking for high impedance (or unknown), use === or!== The gates are instantiated with the statement: gatename (drive_strength) # (delays) optionaluniquename(output, input, control) buffer when control = 1 z when control = 0 buffer when control = 0 z when control = 1 inv when control = 1 z when control = 0 inv when control = 0 z when control = 1

10 Gate Delays Gate Level Modeling Recall that all physical circuits exhibit a propagation delay between the transition of a input a resulting transition on the output Adding gate delays, allows user to model propagation delays Ignored by synthesis tools Hint: Don t rely on gate delays Tools back annotate delays after synthesis and place/route Wires can have delays: wire #2 A_long_wire All primitives and nets have a default prop. delay of 0. // Continuous Assignment no delay assign y = ~a; // Continuous Assignment LHS delay assign #5 y = ~a; // Illegal Continuous Assignment RHS delay Assign y = #5 ~a; // HDL Example 3.2 module simplecircuit(a, B, C, D, E); output D, E; input A, B, C; wire w1; // Single delay for all transistions and #5 G1(w1, A, B, C); // Rise and fall delay and #(1,2) G2(w1, A, B, C); // Rise, fall, and turn off delay bufif1 #(1,3,2) G3(x, y); // One Delay: min, typ, max or #(1:2:3) G3(D, w1, E); // Three delays: min, typ, max for PVT bufif1 #(1:2:3,4:5:6,7:8:9) G6(D, w1, E); endmodule

11

12 Half Adder Example a b sum c_out module Add_half(a, b, sum, c_out); input a,b; output c_out, sum; xor(sum, a, b); and(c_out, a, b); endmodule Verilog 1995 Style module Add_half(input a, b, output sum, c_out); xor (sum, a, b); Verilog 2001 Style and (c_out, a, b); endmodule 12

13 4.1.2 Verilog Structural Models x_in1 x_in2 x_in3 x_in4 x_in5 y1 y2 y_out module AOI_str(input x_in1, x_in2, x_in3, x_in4, x_in5, output y_out); wire y1, y2; and and_in1_2 (y1, x_in1, x_in2); and and_in3_4_5 (y2, x_in3, x_in4, x_in5); nor nor_y1_y2 (y_out, y1, y2); endmodule 13

14 Verilog Structural Model Exercise Create a module definition for a 2-1 mux using primitives. The inputs are i0, i1, and sel. The output is out. The primitive name for an inverter is not. 14

15 Identifiers User defined words for variables, function names, module names, block names, and instance names Identifiers must start with an alphabetic character or underscore System tasks and functions start with $ Variables may contain alphanumeric characters, underscore, and $ Can be up to 1024 characters long Case sensitive my_sig!= My_Sig The _ is also allowed within numbers for ease of reading Declarations, assignments, and statements end with a semicolon wire _my_sig; wire my_sig1; module my_mod1 input _my_sig inout bidir_sig;

16 Two types of comments: One line comments Comments Start with // and end at end of line (eol) Multi-line comments: Start with /* and end with */ Blank spaces are ignored Cannot appear within keyword text, a user defined operator, or number A \ is used for line multi-line continuation character // output My_sig; /* this /* is not */allowed */ /* this is allowed */

17 4.1.5 Top-Down design and Nested Modules To design complex systems partition into managable blocks. CPU MEM ALU CPU CONTROLLER Inst Inst Decode Fetch config registers 17

18 4.1.5 Top-Down design and... example c_in a b Add_full sum c_out Add_full c_in a b a b Add_half sum c_out a b Add_half sum c_out sum c_out 18

19 4.1.5 Top-Down design and... example (cont) c_in a b Add_full a b Add_half sum c_out w1 w2 a b Add_half sum c_out w3 sum c_out module Add_full(input a,b,c_in, output sum, c_out); wire w1, w2, w3; Add_half add_a_b (a, b, w1, w2); Add_half add_cin_w1 (c_in, w1, sum, w3); or or_w3_w2(c_out, w3, w2); endmodule 19

20 Value Set 0: logic zero, false 1: logic one, true x: unknown, contention z: high impedance, undriven Nets wire, tri: interconnecting wire wor, trior: Wired OR wand, triand: Wired AND tri0, tri1: Net pull up/down when not driven Data Types supply0, supply1: Constant logic value of supply strength trireg: Retains last value when driven by z Verilog wire: wire is combinational and evaluates continuously Cannot store a value Default initialization value of z Nets with drivers assume output value of driver (default of x) No properties (delay, etc.) associated with wires Just interconnect between elements Syntax wire [msb:lsb] wire_variable_list; wire a, b, c; assign a = b; wire x = c;

21 4.1.7 Vectors in Verilog Wires can be declared as vectors. Example: wire [3:0] sel; Little endian notation wire [0:3] sel2; Big endian notation Bit selects do not have to start at 0. Example: wire [4:1] sel; If bit width is not specified the default is a scalar. Left most index is the MSB Right most index is the LSB Bit select using similar notation. Example sel[2] or sel[2:1] Danny Cohen introduced the terms Little-Endian and Big-Endian for byte ordering in an article from In this technical and political examination of byte ordering issues, the "endian" names were drawn from Jonathan Swift's 1726 satire, Gulliver s Travels, in which civil war erupts over whether the big or the small end of a soft-boiled egg is the proper end to crack open. 21

22 Data Types Vectors Range is specified as: [msb_exp: lsb_exp] Example: wire[31:0] a; 32 bits with MSB as 31 and LSB as 0 big endian a is all wires a[31] specifies the MSB a[0] specifies LSB a[15:8] is eight wires, bits 15 through 8 of a reg signed [7:0] Vector for -128 to 127 (2 s complement) Keyword vectored specifies a vector that can only be modified as an indivisible entity Keyword scalared allows access to bits and parts (default)

23 Arrays reg[31:0] mema[0:4095] Data Types 4096 words of 32 bits per word mema[2] is third word mema[2][31] is the MSB of the third word mema[0] mema[1] mema[2] mema[3]. mema[4093] mema[4094 mema[4095] 31:0 31:0 31:0 31:0. 31:0 31:0 31:0

24 Memories Data Types reg[7:0] vid_mem[1:4][7:0][5:0] 3D array organized as bytes Initialized with $readmemh or $readmemb [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] [7:0] 5:0 1:4 7:0

25 Strings Treated as sequence of eight bit ASCII values No special termination character One eight bit ASCII value hold one character Strings can be manipulated using standard operators To store a string, declare a register large enough to hold all characters reg [8*15:0] mystring ; The null string ( ) is equivalent to the value zero (0)

26 Function len() putc() getc() toupper() tolower() compare() icompare() substr() Description Returns string length Assign one character of string Returns a character Returns the string uppercase Returns the string lowercase Returns the string compare Returns the caseless string compare Returns the sub0string of main string String Tasks Function atoi() atohex() atooct() atobin() atoreal() itoa() hextoa() octtoa() bintoa() realtoa() Description Returns integer value of the decimal represenation in ASCII string Returns hex value of the hex representation in ASCII string Returns octal value of the octal representation in ASCII string Returns binary value of the binary representation in ASCII string Returns real value of the real representation in ASCII string Stores the ASCII decimal representation of i into str (inverse of atoi) Stores the ASCII hex representation of i into str (inverse of atohex) Stores the ASCII octal representation of i into str (inverse of atooct) Stores the ASCII binary representation of i into str (inverse of atobin) Stores the ASCII real representation of i into str (inverse of atoreal)

27 Top Down Design and Vector Exercise Write a model of a 4-1 mux using the 2-1 mux designed in the last exercise. Inputs are i0, i1, i2, i3, sel[1:0]. Output is out. 27

28 4.1.6 Design Hierarchy and Source-Code Org Using a full adder can create an adder of arbitrary size. a[0] b[0] a[1] b[1] a[2] b[2] a[3] b[3] Add_rca_4 c_in c_in a b c_in a b c_in a b c_in a b Add_full sum c_out Add_full sum c_out Add_full sum c_out Add_full sum c_out c_out sum[0] sum[1] sum[2] sum[3] module Add_rca_4(input [3:0] a,b, input c_in, output [3:0] sum, output c_out); wire cin2, cin3, cin4; Add_full add_bit0(a[0], b[0], cin, sum[0], c_in2); Add_full add_bit1(a[1], b[1], cin2, sum[1], c_in3); Add_full add_bit2(a[2], b[2], cin3, sum[2], c_in4); Add_full add_bit3(a[3], b[3], cin4, sum[3], c_out); endmodule 28

29 4.1.6 Design Hierarchy and Source... (cont) Add_rca_4 add_bit_0 add_bit1 add_bit2 add_bit3 Add_full Add_full Add_full Add_full add_a_b add_cin_w1 or_w3_w2 Add_half Add_half or and_in1_2 xor and_in3_4_5 and nor_y1_y2 and 29

30 Port Connection by Name vs Position module Add_full(input a,b,c_in, output sum, c_out); wire w1, w2, w3; by position Add_half add_a_b (a, b, w1, w2); Add_half add_cin_w1 (c_in, w1, sum, w3); or or_w3_w2(c_out, w3, w2); endmodule port name of submodule Add_half local name in module Add_full module Add_full(input a,b,c_in, output sum, c_out); wire w1, w2, w3; Add_half add_a_b (.a(a),.b(b),.sum(w1),.c_out(w2)); Add_half add_cin_w1 (.a(c_in),.b(w1),.sum(sum),.c_out(w3)); or or_w3_w2(c_out, w3, w2); endmodule by name 30

31 Default nettype An interesting feature of verilog is an undeclared signal s default nettype is a binary wire. For example the 2-1 mux you designed could have been written as: module mux2_1(i0, i1, sel, out); input i0, i1, sel; output out; // wire sel_n, i0_sel_n, i1_sel; not not_sel(sel_n, sel); nand nand_i0_sel_n(i0_sel_n, i0, sel_n); nand nand_i1_sel(i1_sel, i1, sel); nand nand_output(out, i0_sel_n, i1_sel); endmodule; This could cause errors if the signal is a vector. 31

32 Declaring the default netype as none To catch undeclared or mis-typed signal names: `default_nettype none module mux2_1(input wire i0,i1,sel, output wire out); wire sel_n, i0_sel_n, i1_sel_n;... endmodule; Signals used but not declared will result in compile errors 32

33 value Logic and Signal Resolution in Verilog Verilog uses a 4-value logic system having the symbols: 1 logic 1 0 logic 0 x unknown z - high impedance Undriven inputs and floating signals of type wire are z Undriven outputs and floating signals of type reg are x A signal driven to 0 and 1 simultaneously is x An input to a gate that is X or Z could cause the output to be X 33

34 AND gate Resolution Example a b 0 1 X 0 1 X Z X X X X X a b y Z 0 X X X a 1 X Z b 0 1 X Z 0 1 X Z 0 1 X Z 0 1 X Z y 0 1 X 0 X 0 X 34

35 OR gate Resolution Exercise complete the K-map and timing diagram a b 0 1 X Z 0 1 X Z x 1 x x x 1 x x x x a b y a 1 X Z b 0 1 X Z 0 1 X Z 0 1 X Z 0 1 X Z y 35

36 Resolution of Contention a b out1 out out2 a X X Z b X out1 X X out2 X X out X X X 36

37 Resolution of Contention tristate s0 a s1 b s0 a s1 b out1 out out2 X X Z X out1 Z Z X out2 Z Z X out Z Z X X 37

38 User Defined Primitive (UDP) The keywords and, or, etc. are defined by the system and thus are system primitives The user can create additional primitives by defining them in tabular format Scoped by table, endtable keywords Declared with primitive endprimitive The current IEEE 1364 standard provides for multiple-inputs/outputs UDPs but multiple outputs are not common The output terminal must be first in the terminal list All UDP terminals are scalar No bidirectional inout terminals allowed

39 The high impedance value (z) cannot be specified

40 Example from of mux: UDPs Note: the //D0 D1 comment line is just a comment line The table column order is determined by the input order primitive mux2_udp0_svt16(q, D0, D1, SEL1); output Q; input D0, D1, SEL1; table // D0 D1 SEL1 : Q 1? 0 : 1;? 1 1 : 1; 0? 0 : 0;? 0 1 : 0; 0 0? : 0; 1 1? : 1; endtable endprimitive D0 D1 0 1 SEL1 Q

41 primitive d_edge_ff(q, clock, data); output q; reg q; input clock, data; table // obtain output on rising edge of clock // clock data q q+ (01) 0 :? : 0 ; (01) 1 :? : 1 ; (0?) 1 : 1 : 1 ; (0?) 0 : 0 : 0 ; // ignore negative edge of clock (?0)? :? : - ; // ignore data changes on steady clock? (??) :? : - ; endtable endprimitive UDPs

42 timescale A ` (grave accent) indicates a compiler directive `timescale 1ns/1ps Specifies the time unit and time precision time unit 1ns indicates a time unit of 1ns #10 will have a delay of 10nS time precision Indicates the degree of accuracy, i.e. how delay values are rounded Valid time units are s, ms, us, ns, ps, fs Only 1, 10, or 100 are valid integers for specifying unit and precision Must be specified before and outside module definition The first line of a module is the `timescale compiler directive if required, otherwise an optional comment Module without timescale directive will inherent timescale from calling module Verilog simulates with the smallest time precision unit specified for a given module Make time precision no smaller than necessary

43 Other compiler directives `include filename Inserts the contents of a specified file into a file in which it was called. The file name should be given in quotation marks (") and it can be given using full or relative path. `ifdef, `else, and `endif These directives can be used to decide which lines of Verilog code should be included for the compilation Used with `define or +define command line option `protect, `endprotect `include Chip.vh `ifdef NO_SLAVE `else `endif Encrypts code to new file, compile with the +protect command line option After compilation, the new file has regions marked `protected, unprotected +autoprotect command line option protects all modules Used for libraries, etc.

44 4.2.2 Test Methodology Testing ensures your verilog model does what you intend. Testbench Stimulus Generator DUT Response Monitor 44

45 Verilog System Tasks $write // same as $display except no automatic insertion of newline $strobe // same as $display except waits until all events finished $monitor // same as $display except only displays if an expression changes $monitoron // only one $monitor may be active at ant time, $monitoroff // turn current $monitor off $displayb // same as $display using binary as default format $writeb // same as $write using binary as default format $strobeb // same as $strobe using binary as default format $monitorb // same as $monitor using binary as default format $displayo // same as $display using octal as default format $writeo // same as $write using octal as default format $strobeo // same as $strobe using octal as default format $monitoro // same as $monitor using octal as default format $displayh // same as $display using hexadecimal as default format $writeh // same as $write using hexadecimal as default format $strobeh // same as $strobe using hexadecimal as default format $monitorh // same as $monitor using hexadecimal as default format

46 module Add_half_tb(); wire sum, c_out; reg a, b; Testbench Example Add_half Add_half_a_b(.sum(sum),.c_out(c_out),.a(a),.b(b)); initial begin #10 a=0;b=0; #10 b=1; #10 a=1; #10 a=1; #10 $finish; // $finish exits simulation, $stop halts end endmodule

47 Testbench Exercise Write a testbench for the 2-1 mux designed in a previous exercise. 47

48 4.2.4 Sized Numbers Values are declared as <size> <base> <value> The size specifies the number of bits used for <value> The base specifies the number format of <value> where b or B specifies binary d or D specifies decimal o or O specifies octal h or H specifies hexadecimal Example: 8 b = 8 b1010_0011 = 8 ha3 = 8 d165 = 8 o245 size base 48

49 Verilog Linting Tool A linting tool checks code for undesirable constructs. The term was derived from the name of the undesirable bits of fiber and fluff found in sheep's wool. The Verilog linting tool checks your Verilog code for synthesizability Developed by Kenneth Hassey and Danny Dauwe as a senior project Linting your code (and fixing errors) will be a requirement for HW. Only lint designs, not testbenches! 49

Online Verilog Resources

Online Verilog Resources EECS 427 Discussion 6: Verilog HDL Reading: Many references EECS 427 F08 Discussion 6 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf it/ pratolo/verilog/verilogtutorial

More information

Verilog Tutorial (Structure, Test)

Verilog Tutorial (Structure, Test) Digital Circuit Design and Language Verilog Tutorial (Structure, Test) Chang, Ik Joon Kyunghee University Hierarchical Design Top-down Design Methodology Bottom-up Design Methodology Module START Example)

More information

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1 EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references EECS 427 W07 Lecture 14 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf

More information

CSE241 VLSI Digital Circuits Winter Recitation 1: RTL Coding in Verilog

CSE241 VLSI Digital Circuits Winter Recitation 1: RTL Coding in Verilog CSE241 VLSI Digital Circuits Winter 2003 Recitation 1: RTL Coding in Verilog CSE241 R1 Verilog.1 Kahng & Cichy, UCSD 2003 Topic Outline Introduction Verilog Background Connections Modules Procedures Structural

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Verilog. Like VHDL, Verilog HDL is like a programming language but:

Verilog. Like VHDL, Verilog HDL is like a programming language but: Verilog Verilog Like VHDL, Verilog HDL is like a programming language but: Statements can execute simultaneously unlike programming e.g. nand(y1,a1,b1); nand(y2,a2,b2); or (out,y1,y2); a1 b1 all statements

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Programmable Logic Devices Verilog VII CMPE 415

Programmable Logic Devices Verilog VII CMPE 415 Synthesis of Combinational Logic In theory, synthesis tools automatically create an optimal gate-level realization of a design from a high level HDL description. In reality, the results depend on the skill

More information

Verilog Design Principles

Verilog Design Principles 16 h7fex // 16-bit value, low order 4 bits unknown 8 bxx001100 // 8-bit value, most significant 2 bits unknown. 8 hzz // 8-bit value, all bits high impedance. Verilog Design Principles ECGR2181 Extra Notes

More information

OVERVIEW: ============================================================ REPLACE

OVERVIEW: ============================================================ REPLACE OVERVIEW: With mantis 928, formal arguments to properties and sequences are defined to apply to a list of arguments that follow, much like tasks and function arguments. Previously, the type had to be replicated

More information

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language 1 / 15 2014/11/20 0 EDA (Electronic Design Assistance) 0 Computer based language 0 HDL (Hardware Description Language) 0 Verilog HDL 0 Created by Gateway Design Automation Corp. in 1983 First modern hardware

More information

Introduction to Digital Design with Verilog HDL

Introduction to Digital Design with Verilog HDL Introduction to Digital Design with Verilog HDL Modeling Styles 1 Levels of Abstraction n Behavioral The highest level of abstraction provided by Verilog HDL. A module is implemented in terms of the desired

More information

Verilog Design Principles

Verilog Design Principles 16 h7fex // 16-bit value, low order 4 bits unknown 8 bxx001100 // 8-bit value, most significant 2 bits unknown. 8 hzz // 8-bit value, all bits high impedance. Verilog Design Principles ECGR2181 Extra Notes

More information

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages 14:332:231 DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall 2013 Lecture #22: Introduction to Verilog Hardware Description Languages Basic idea: Language constructs

More information

Advanced Digital Design Using FPGA. Dr. Shahrokh Abadi

Advanced Digital Design Using FPGA. Dr. Shahrokh Abadi Advanced Digital Design Using FPGA Dr. Shahrokh Abadi 1 Venue Computer Lab: Tuesdays 10 12 am (Fixed) Computer Lab: Wednesday 10-12 am (Every other odd weeks) Note: Due to some unpredicted problems with

More information

Advanced Digital Design with the Verilog HDL

Advanced Digital Design with the Verilog HDL Copyright 2001, 2003 MD Ciletti 1 Advanced Digital Design with the Verilog HDL M. D. Ciletti Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado ciletti@vlsic.uccs.edu

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

Contents. Appendix D Verilog Summary Page 1 of 16

Contents. Appendix D Verilog Summary Page 1 of 16 Appix D Verilog Summary Page 1 of 16 Contents Appix D Verilog Summary... 2 D.1 Basic Language Elements... 2 D.1.1 Keywords... 2 D.1.2 Comments... 2 D.1.3 Identifiers... 2 D.1.4 Numbers and Strings... 3

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 99-1 Under-Graduate Project Verilog Simulation & Debugging Tools Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 ACCESS IC LAB Outline Basic Concept of Verilog HDL Gate Level Modeling

More information

Graduate Institute of Electronics Engineering, NTU Basic Concept of HDL

Graduate Institute of Electronics Engineering, NTU Basic Concept of HDL Basic Concept of HDL Lecturer: ( ) Date: 2004.03.05 ACCESS IC LAB Outline Hierarchical Design Methodology Basic Concept of Verilog HDL Switch Level Modeling Gate Level Modeling Simulation & Verification

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Verilog HDL Introduction

Verilog HDL Introduction EEE3050 Theory on Computer Architectures (Spring 2017) Prof. Jinkyu Jeong Verilog HDL Introduction 2017.05.14 TA 이규선 (GYUSUN LEE) / 안민우 (MINWOO AHN) Modules The Module Concept Basic design unit Modules

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Verilog Language Concepts

Verilog Language Concepts Verilog Language Concepts Adapted from Z. Navabi Portions Copyright Z. Navabi, 2006 1 Verilog Language Concepts Characterizing Hardware Languages Timing Concurrency Timing and concurrency example Module

More information

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date: Synthesizable Coding of Verilog Lecturer: Date: 2009.03.18 ACCESS IC LAB Outline Basic concepts of logic synthesis Synthesizable Verilog coding subset Verilog coding practices Coding for readability Coding

More information

Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL. Variables and Logic Value Set. Data Types. Why use an HDL?

Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL. Variables and Logic Value Set. Data Types. Why use an HDL? Why use an HDL? Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL Increase digital design engineer s productivity (from Dataquest) Behavioral HDL RTL HDL Gates Transistors 2K 10K gates/week

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog Verilog Radek Pelánek and Šimon Řeřucha Contents 1 Computer Aided Design 2 Basic Syntax 3 Gate Level Modeling 4 Behavioral Modeling Computer Aided Design Hardware Description Languages (HDL) Verilog C

More information

Chap 3. Modeling structure & basic concept of Verilog HDL

Chap 3. Modeling structure & basic concept of Verilog HDL Chap 3. Modeling structure & basic concept of Verilog HDL Fall semester, 2016 Prof. Jaeseok Kim School of Electrical & Electronics Eng. Yonsei university jaekim@yonsei.ac.kr Digital System Design 3-1 Chapter

More information

Chapter 2a: Structural Modeling

Chapter 2a: Structural Modeling Chapter 2a: Structural Modeling Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition James M. Lee SEVA Technologies

More information

Chapter 2 Using Hardware Description Language Verilog. Overview

Chapter 2 Using Hardware Description Language Verilog. Overview Chapter 2 Using Hardware Description Language Verilog CSE4210 Winter 2012 Mokhtar Aboelaze based on slides by Dr. Shoab A. Khan Overview Algorithm development isa usually done in MATLAB, C, or C++ Code

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

430 Index. D flip-flop, from nands, 189, 191, 192 D flip-flop, verilog, 37

430 Index. D flip-flop, from nands, 189, 191, 192 D flip-flop, verilog, 37 Index *, in event control, 46 -> (event trigger), 177 $display, 34, 146, 165 $display, example, 44 $finish, 11, 165, 195, 196 $fullskew timing check, 297 $hold timing check, 298 $monitor, 34, 174 $nochange

More information

Verilog-A/MS is a case sensitive language. Spaces, tabs, and newlines are considered white space and are ignored except when found in strings.

Verilog-A/MS is a case sensitive language. Spaces, tabs, and newlines are considered white space and are ignored except when found in strings. 5 Language Reference 1 Basics Verilog-A/MS is a case sensitive language. Spaces, tabs, and newlines are considered white space and are ignored except when found in strings. 1.1 Comments Comments are text

More information

Hardware Description Language (HDL)

Hardware Description Language (HDL) Hardware Description Language (HDL) What is the need for Hardware Description Language? Model, Represent, And Simulate Digital Hardware Hardware Concurrency Parallel Activity Flow Semantics for Signal

More information

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation A Verilog Primer An Overview of Verilog for Digital Design and Simulation John Wright Vighnesh Iyer Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog Course Objectives Learn the basic constructs of Verilog Learn the modeling structure of Verilog Learn the concept of delays and their effects in simulation Course Outline Verilog

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 Recall What You Will Do Design and implement a serial MIDI receiver Hardware in

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

UNIT V: SPECIFICATION USING VERILOG HDL

UNIT V: SPECIFICATION USING VERILOG HDL UNIT V: SPECIFICATION USING VERILOG HDL PART -A (2 Marks) 1. What are identifiers? Identifiers are names of modules, variables and other objects that we can reference in the design. Identifiers consists

More information

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture) Last Lecture The basic component of a digital circuit is the MOS transistor Transistor have instrinsic resistance and capacitance, so voltage values in the circuit take some time to change ( delay ) There

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

Verilog / SystemVerilog

Verilog / SystemVerilog Verilog / SystemVerilog History & main concepts structure, description styles, data types Procedural & assignment; if-then, case & loop statements Functional hierarchy tasks & functions Time & events;

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Introduction to Verilog/System Verilog

Introduction to Verilog/System Verilog NTUEE DCLAB Feb. 27, 2018 Introduction to Verilog/System Verilog Presenter: Yao-Pin Wang 王耀斌 Advisor: Prof. Chia-Hsiang Yang 楊家驤 Dept. of Electrical Engineering, NTU National Taiwan University What is

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

Verilog. Reminder: Lab #1 due tonight! Fall 2008 Lecture 3

Verilog. Reminder: Lab #1 due tonight! Fall 2008 Lecture 3 Verilog Hardware Description Languages Verilog -- structural: modules, instances -- dataflow: continuous assignment -- sequential behavior: always blocks -- pitfalls -- other useful features Reminder:

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Introduction to Verilog HDL. Verilog 1

Introduction to Verilog HDL. Verilog 1 Introduction to HDL Hardware Description Language (HDL) High-Level Programming Language Special constructs to model microelectronic circuits Describe the operation of a circuit at various levels of abstraction

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

Modeling Concepts. Introduction

Modeling Concepts. Introduction Introduction Verilog HDL modeling language supports three kinds of modeling styles: gate-level, dataflow, and behavioral. The gate-level and datafow modeling are used to model combinatorial circuits whereas

More information

Department of Computer Science and Electrical Engineering. Intro to Verilog II

Department of Computer Science and Electrical Engineering. Intro to Verilog II Department of Computer Science and Electrical Engineering Intro to Verilog II http://6004.csail.mit.edu/6.371/handouts/l0{2,3,4}.pdf http://www.asic-world.com/verilog/ http://www.verilogtutorial.info/

More information

ECE 353 Lab 3 (Verilog Design Approach)

ECE 353 Lab 3 (Verilog Design Approach) ECE 353 Lab 3 (Verilog Design Approach) Prof Daniel Holcomb Recall What You Will Do Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device (CPLD) MAX 7000S

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

N-input EX-NOR gate. N-output inverter. N-input NOR gate

N-input EX-NOR gate. N-output inverter. N-input NOR gate Hardware Description Language HDL Introduction HDL is a hardware description language used to design and document electronic systems. HDL allows designers to design at various levels of abstraction. It

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Introduction To Verilog Design. Chun-Hung Chou

Introduction To Verilog Design. Chun-Hung Chou Introduction To Verilog Design Chun-Hung Chou 1 Outline Typical Design Flow Design Method Lexical Convention Data Type Data Assignment Event Control Conditional Description Register Description Synthesizable

More information

ECE Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers

ECE Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers ECE 601 - Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers Fall 2001 Final Version (Important changes from original posted Exercise 1 shown in color) Variables

More information

14. Introducton to Verilog

14. Introducton to Verilog 14. Introducton to Verilog Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 23, 2017 ECE Department, University of Texas at

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

P-1/74. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU.

P-1/74. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU. : P-1/74 Textbook: Verilog HDL 2 nd. Edition Samir Palnitkar Prentice-Hall, Inc. : INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter 3 P-2/74 Chapter 3 Basic Concepts Outline of Chapter

More information

Combinational Logic Design with Verilog. ECE 152A Winter 2012

Combinational Logic Design with Verilog. ECE 152A Winter 2012 Combinational Logic Design with Verilog ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.10 Introduction to Verilog 2.10.1 Structural Specification of Logic

More information

Appendix A GATE-LEVEL DETAILS

Appendix A GATE-LEVEL DETAILS Appendix A GATE-LEVEL DETAILS Chapters 2 and 3 1:riefly introduced the built-in primitives. This appendix will 1:riefly describe each of the built-in primitives and the options when instantiating them.

More information

Design Using Verilog

Design Using Verilog EGC220 Design Using Verilog Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Basic Verilog Lexical Convention Lexical convention are close to C++. Comment // to the of the line. /* to

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

VLSI Design 13. Introduction to Verilog

VLSI Design 13. Introduction to Verilog Last module: Sequential circuit design Design styles This module Synthesis Brief introduction to Verilog Synthesis in the Design Flow Designer Tasks Tools Architect Logic Designer Circuit Designer Define

More information

Hardware Description Languages: Verilog

Hardware Description Languages: Verilog Hardware Description Languages: Verilog Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 Quick History of HDLs ISP (circa 1977) -

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Introduction to Verilog

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb UMass Amherst Fall 2017 What You Will Do In Lab 4 Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

register:a group of binary cells suitable for holding binary information flip-flops + gates

register:a group of binary cells suitable for holding binary information flip-flops + gates 9 차시 1 Ch. 6 Registers and Counters 6.1 Registers register:a group of binary cells suitable for holding binary information flip-flops + gates control when and how new information is transferred into the

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only.

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only. Chapter 4 :: Hardware Description Languages Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Chapter 4 :: Topics Introduction Combinational Logic Structural Modeling Sequential

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 28: Synthesis of Language Constructs Synthesis of Nets v An explicitly declared net may be eliminated in synthesis v Primary input and output (ports) are always retained

More information

The Verilog Golden Reference Guide

The Verilog Golden Reference Guide The Verilog Golden Reference Guide DOULOS Version 1.0, August 1996 Copyright 1996, Doulos, All Rights Reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted,

More information

IP Core Design. Lecture 6 Introduction to Verilog-2001

IP Core Design. Lecture 6 Introduction to Verilog-2001 IP Core Design Lecture 6 Introduction to Juinn-Dar Huang, Ph.D. Assistant Professor jdhuang@mail.nctu.edu.tw September 2004 1 The official standard is IEEE Std. 1364-2001 a guide to the new features of

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information

VERILOG. Deepjyoti Borah, Diwahar Jawahar

VERILOG. Deepjyoti Borah, Diwahar Jawahar VERILOG Deepjyoti Borah, Diwahar Jawahar Outline 1. Motivation 2. Basic Syntax 3. Sequential and Parallel Blocks 4. Conditions and Loops in Verilog 5. Procedural Assignment 6. Timing controls 7. Combinatorial

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Hardware Description Language Logic Simulation versus Synthesis

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Verilog Hardware Description Language (Verilog HDL)

Verilog Hardware Description Language (Verilog HDL) 1 1 Verilog Hardware Description Language () /http://ece.niu.edu.tw/~chu )2007/2/26( 2 Brief history of 1985: Verilog language and related simulator Verilog-XL were developed by Gateway Automation. 1989:

More information

ADVANCED DIGITAL IC DESIGN. Verilog Simulation Techniques (I)

ADVANCED DIGITAL IC DESIGN. Verilog Simulation Techniques (I) 1 ADVANCED DIGITAL IC DESIGN (SESSION 7) Verilog Simulation Techniques (I) Simulation Algorithms 2 There are three broad categories of simulation algorithms: Time-based used by SPICE simulators Event-based

More information

Verilog for Combinational Circuits

Verilog for Combinational Circuits Verilog for Combinational Circuits Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2014 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

Verilog introduction. Embedded and Ambient Systems Lab

Verilog introduction. Embedded and Ambient Systems Lab Verilog introduction Embedded and Ambient Systems Lab Purpose of HDL languages Modeling hardware behavior Large part of these languages can only be used for simulation, not for hardware generation (synthesis)

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information