Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Size: px
Start display at page:

Download "Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights"

Transcription

1 Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights

2 Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar MediatekMT6592 TSMC 28 nm HP HKMG planar Qualcomm MDM9215 Samsung 28 nm Poly planar Qualcomm MDM9235 TSMC 20 nm HKMG planar Samsung Exynos 5430 Samsung 20 nm HKMG planar Intel i Ivy Bridge Intel 22 nm HKMG finfet Intel Valley View Atom Z3740 Intel 22 nm HKMG finfet Intel Broadwell 5Y70 Intel 14 nm HKMG finfet General comments on logic packaging 2 I TechInsights

3 Logic: Packages, planar 28 nm Top: TSMC, 28 nm Xilinx Kintex-7 Top: TSMC, 28 nm Mediatek MT6592 Top: Samsung, 28 nm Qualcomm MDM9215 Bottom: Xilinx Kintex-7 3 I TechInsights Bottom: Mediatek MT6592 Bottom: Qualcomm MDM9215

4 Logic: X-ray Packages, planar 28 nm X-Ray: TSMC, 28 nm Xilinx Kintex-7 Single die is flip-chip bumped to a printed wiring board The package contains copper heat spreader X-Ray: TSMC, 28 nm Mediatek MT6592 Single die is flip-chip bumped to a printed wiring board X-Ray: Samsung, 28 nm Qualcomm MDM9215 Two dies are in the package. The memory die is on top of the baseband processor. The processor is flip-chip bumped to a printed wiring board 4 I TechInsights

5 Logic: Die planar 28 nm Die Photo: TSMC, 28 nm Xilinx Kintex-7 Die Area: 16.8 mm x 9.8 mm = mm² Die Photo: TSMC, 28 nm Mediatek MT6592 Die Area: 6.2 mm x 6.0 mm = mm² Die Photo: Samsung, 28 nm Qualcomm MDM I TechInsights Die Area: 7.2 mm x 5.8 mm = mm²

6 Logic: Bond Pad planar 28 nm, Bond pads are in Al Regular octagon shaped bump pads distributed across the die Bump pads fabricated from Al based metal Bond Pad: TSMC, 28 nm Xilinx Kintex-7 Bond Pad: TSMC, 28 nm Mediatek MT6592 Bond Pad: Samsung, 28 nm Qualcomm MDM I TechInsights

7 Logic: Bond Pad planar 28 nm Solder ball connecting bond pad: TSMC 28 nm, Xilinx Kintex-7 Cu-pillar connecting bond Pad: TSMC 28 nm, Mediatek MT6592 Solder ball connecting bond pad: Samsung 28 nm, Qualcomm MDM9215 UBM over polymide: Xilinx Kintex-7 7 I TechInsights Cu-Pillar on top of polymide: Mediatek MT6592 UBM over polymide: Qualcomm MDM9215 Polymide on passivation is used by all these manufacturers and it helps in mitigating stress TSMC uses Cu-pillar on Al at 28 nm node for Mediatek TSMC uses solder bond at 28 nm for Xilinx, ATI-Radeon and Nvidia

8 Logic: Packages, planar 20 nm Top: TSMC, 20 nm Qualcomm MDM9235 Top: Samsung, 20 nm Samsung Exynos 5430 (After removing the memory die that was attached on top of it) Bottom: TSMC, 20 nm Qualcomm MDM9235 Bottom: Samsung, 20 nm Samsung Exynos I TechInsights

9 Logic: X-ray Packages, planar 20nm X-Ray: TSMC, 20 nm Qualcomm MDM9235 Two dies are in the package. The memory die is on top of the baseband processor. The processor is flip-chip and connected with copper pillars to the printed wiring board X-Ray: Samsung, 20 nm Samsung Exynos 5430 Two dies are in the package. The memory die is on top of the baseband processor. The processor is flip-chip bumped to a printed wiring board 9 I TechInsights

10 Logic: Die photo, planar 20 nm Die Photo: TSMC, 20nm Qualcomm MDM9235 Die Photo: Samsung, 20 nm Samsung Exynos 5430 Die Area: 5.5 mm x 4.3 mm = mm² Die Area: 10.3 mm x 10.7 mm = mm² 10 I TechInsights

11 Logic: Bond Pad, planar 20 nm Bond Pad: TSMC, 20 nm Qualcomm MDM9235 Bond Pad: Samsung, 20 nm Samsung Exynos 5430 Bond pad is made on Al The bond pads are patterned into the aluminum redistribution layer (RDL). 11 I TechInsights

12 Logic: Bond Pad, planar 20 nm TSMC uses Cu-Pillar on Al bond pad Cu-pillar connecting bond Pad: TSMC, 20 nm, Qualcomm MDM9235 Solder connecting bond Pad: Samsung, 20 nm, Samsung Exynos 5430 Samsung uses UBM and solder on Al bond pad Cu-Pillar on top of polymide : TSMC, 20 nm, Qualcomm MDM9235 UBM over polymide: Samsung 20 nm, Samsung Exynos I TechInsights

13 Logic: Packages, finfet 2x & 1x Top: Intel 22 nm i Ivy Bridge Top: Intel 22 nm Valley View Atom Z3740 Top: Intel 14 nm Broadwell 5Y70 Bottom: Intel, 22 nm i Ivy Bridge 13 I TechInsights Bottom: Intel, 22 nm Valley View Atom Z3740 Bottom: Intel, 14 nm Broadwell 5Y70

14 Logic: X-ray Packages, finfet 2x & 1x X-Ray: Intel 22 nm i Ivy Bridge There is a single die in the package. Flip chip land grid array FC-LGA package with copper pillar bumping technology is used. The package contains integrated heat spreader 14 I TechInsights X-Ray: Intel 22 nm Valley View Atom Z3740 The package contains a single die Flip chip ball grid array FCBGA package with copper pillar bumping technology is used. X-Ray: Intel 14 nm Broadwell 5Y70 The package contains two dies placed side by side on a PCB Flip chip ball grid array FCBGA package with copper pillar bumping technology is used.

15 Logic: Die photo, finfet 2x & 1x nm Die Photo: Intel, 22 nm i Ivy Bridge Die Photo: Intel, 22 nm Atom Z3740 Die Photo: Intel, 14 nm Broadwell 5Y70 Die Area: 19.6 mm x 8.0 mm = mm² Die Area: 10.4 mm x 9.7 mm = mm² Die Area: 13.3 mm x 5.9 mm = 78.5 mm² 15 I TechInsights

16 Logic: Die photo, finfet 2x & 1x nm Bond Pad: Intel, 22 nm i Ivy Bridge Bond Pad: Intel, 22 nm Atom Z3740 Bond Pad: Intel, 14 nm Broadwell 5Y70 16 I TechInsights Bond pad is made on Cu For Intel 22 nm bump pads (copper pillars) are connected to metal 9 lines through pad openings. Bump pads are elliptical in shape These two pictures do not have Cu-pillars, they are removed Bond pad is made on Cu The Broadwell chip has bump pads are cylindrical in shape and make direct contact to metal 13 through openings In this picture Cu-pillars are present

17 Logic: Bond Pad, finfet 2x & 1x nm Metal 9 (Cu) with passivation: Intel 22 nm, i Ivy Bridge Cu-pillar connects to metal 9 (Cu): Intel 22 nm, Atom Z3740 Cu-pillar connects to metal 13(Cu): Intel 14 nm, Broadwell 5Y70 Cu pillar on top of polymide: Intel, 22 nm, i Ivy Bridge Cu pillar through passivation: Intel, 22 nm, Atom Z3740 Cu pillar through passivation: Intel 14 nm, Broadwell 5Y70 17 I TechInsights Since 32 nm node, Intel is using Cu-pillars on Cu bond pads The copper and solder in the pillar are electroplated Cu pillar is over the polymide layer, which is on top of passivation layer

18 Logic: Table All devices below 28 nm technology node have metal 0 Metal 0 is not included in the count of metal levels TSMC and Intel use Cu-pillars 18 I TechInsights

19 General Remarks: Logic Packaging In all cases the logic die is always flip-chip bumped to the underlying substrate TSMC at 28 & 20 nm uses Cu-pillars TSMC uses Cu-pillar on Al bond pads Intel is using Cu-pillar bumping technology since 32 nm node Sn based solder is capping the copper pillars. The solder on Cu-pillar is electroplated. The process of Cu-pillars is the following Pattern the bond pads, Deposit the passivation layers Pattern the openings to expose the top surface of the aluminum. Deposit a polyimide layer Pattern polymide to have an opening. Deposit a barrier layer of followed by a seed layer (Cu) Apply photoresist and pattern to form a mold for the pillar Electro- deposit the pillar material using the seed layer as nucleation site Cap the cu-pillar with Ni to prevent oxidation and for adhesion with solder Deposit solder deposition. Remove the photoresist and pattern the barrier layers using the pillar as a mask 19 I TechInsights

20 General Remarks: Logic Packaging All the manufacturers use Al at the last level of metallization, except for Intel Intel uses Cu in the last metal level Manufacturers using Al in their last metal level are using a polymide level over the passivation layer and then an under bump metallization (UBM) The UBM is fabricated with a thin Ti-based film, a thin Cu layer and a thick Ni layer over the openings in polymide and passivation layers followed by Sn based solder Most packages are FCBGA and in some case when there are multiple dies in the package a PoP (Package on Package) configuration is used. Qualcomm MDM9215 (28 nm Samsung), Qualcomm MDM9235 (20 nm TSMC) and Samsung Exynos 5430 (20 nm Samsung) use a multi-chip structure in Package on Package configuration (PoP). The memory die is placed on top of the processor 20 I TechInsights

21 General Remarks: Logic Packaging Intel Broadwell 5Y70 uses a multichip package, where two devices are placed sided by side on a packaging substrate Samsung Exynos (20 nm), and all the three Intel devices ( 22 nm & 14 nm) have more than 1000 pin counts All devices below 28 nm node use M0; (when counting number of metal levels M0 is not included) For all devices the bond pad pitch is around 0.1 mm None of the logic devices are using ultra-thin wafers (less than 50 µm) Samsung Exynos 20 nm and Qualcomm MDM9235 (TSMC 20 nm) have the minimum die thickness around 90 µm The ratio of package area to the die area ratio is varying from 2 to 9 Larger ratio suggests that the package contains a heat spreader or has multiple dies 21 I TechInsights

22 Additional Information Detailed structural analysis exists for all the devices discussed in this presentation. The detailed structural analysis includes gate structures, SRAM cells and metallization-ild layers. Comparison reports also exist that highlight the commonalities and the differences between these devices 22 I TechInsights

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns.

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns. High Density Interconnect Technology (HDI) for Chip Scale and Land Grid Array Packaging for Space Qualifiable Radiation Hardened Systems on a Chip Solutions Sasan Ardalan, Donald Elkins Microelectronics

More information

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics Rethinking the Hierarchy of Electronic Interconnections Joseph Fjelstad Verdant Electronics The Industry s Terminology Challenge» The electronics industry continues to explore and develop new methods to

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Texas Instruments S W Digital Micromirror Device

Texas Instruments S W Digital Micromirror Device Texas Instruments S1076-6318W MEMS Process Review with Supplementary TEM Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Advanced Flip Chip Package on Package Technology for Mobile Applications

Advanced Flip Chip Package on Package Technology for Mobile Applications Advanced Flip Chip Package on Package Technology for Mobile Applications by Ming-Che Hsieh Product and Technology Marketing STATS ChipPAC Pte. Ltd. Singapore Originally published in the 17 th International

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis June 12, 2006 Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz, M.Beesley AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr August 2011 - Version 1 Written by: Sylvain HALLEREAU

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING March 2015 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

IBM 43E7488 POWER6 Microprocessor from the IBM System 8203-E4A Server

IBM 43E7488 POWER6 Microprocessor from the IBM System 8203-E4A Server 43E7488 from the IBM System 8203-E4A Server Package Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS

TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS Valentino Liberali INFN and Dipartimento di Fisica, Università di Milano Via Celoria, 16 Milano valentino.liberali@mi.infn.it Milano, Jan. 2018 V. Liberali

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

Design and Assembly Process Implementation for BGAs

Design and Assembly Process Implementation for BGAs ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design and Assembly Process Implementation for BGAs Developed by the Device Manufacturers Interface Committee of IPC October 25, 2000 Users of this standard

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr May 2011 - Version 2 Written by: Sylvain HALLEREAU DISCLAIMER

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

28F K (256K x 8) FLASH MEMORY

28F K (256K x 8) FLASH MEMORY 28F020 2048K (256K x 8) FLASH MEMOR SmartDie Product Specification Flash Electrical Chip Erase 2 Second Typical Chip Erase Quick-Pulse Programming Algorithm 10 ms Typical Byte Program 4 Second Chip Program

More information

Canon Digic II CH Digital Image Processor Structural Analysis

Canon Digic II CH Digital Image Processor Structural Analysis March 3, 2005 Canon Digic II CH4-6270 Digital Image Processor Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Comparison of Singulation Techniques

Comparison of Singulation Techniques Comparison of Singulation Techniques Electronic Packaging Society, Silicon Valley Chapter Sept. 28, 2017 ANNETTE TENG Sept 28, 2017 1 Definition of Singulation 9/28/2017 Annetteteng@promex-ind.com 2 www.cpmt.org/scv

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model.

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model. Packaging Cost and Price Model User Manual IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 Tx: (978) 352 7610, Fx: (978) 352 3870, email: info@icknowledge.com Version 2019 model Introduction This manual

More information

Motorola Wafer Level Burn-in and Test

Motorola Wafer Level Burn-in and Test Motorola Wafer Level Burn-in and Test 00 Southwest Test Workshop Teresa McKenzie, Wafer Level Burn In Engineer Motorola (T.McKenzie@motorola.com) 6501 William Cannon Drive West; Austin, Texas 78735; 51-895-486

More information

Known-Good-Die (KGD) Wafer-Level Packaging (WLP) Inspection Tutorial

Known-Good-Die (KGD) Wafer-Level Packaging (WLP) Inspection Tutorial Known-Good-Die (KGD) Wafer-Level Packaging (WLP) Inspection Tutorial Approach to Inspection Wafer inspection process starts with detecting defects and ends with making a decision on what to do with both

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION ALL SILICON SYSTEM INTEGRATION DRESDEN ASSID ALL SILICON SYSTEM INTEGRATION DRESDEN FRAUNHOFER IZM-ASSID

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Removing Aluminum Cap in 90 nm Copper Technology

Removing Aluminum Cap in 90 nm Copper Technology Removing Aluminum Cap in 90 nm Copper Technology Emanuele Capitanio Matteo Nobile Didier Renard ST Microelectronics Agrate (Italy) ST Microelectronics Agrate (Italy) Credence Content What Alucap is Needs

More information

RF Micro Devices RF6260 Power Amplifier Module from the Samsung Galaxy S II Smartphone

RF Micro Devices RF6260 Power Amplifier Module from the Samsung Galaxy S II Smartphone RF Micro Devices RF6260 from the Samsung Galaxy S II Smartphone Package Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Package Analysis Some of the

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Solder Reflow Guide for Surface Mount Devices Technical Note

Solder Reflow Guide for Surface Mount Devices Technical Note Solder Reflow Guide for Surface Mount Devices FPGA-TN-02041 Version 3.8 November 2017 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Reflow... 4 3. Inspection... 4 4. Cleaning Recommendations...

More information

Wafer Level Packaging & Bumping A view from a European Service Provider

Wafer Level Packaging & Bumping A view from a European Service Provider 9 th International IEEE CPMT Symposium on High Density Design, Packaging and Microsystem Integration (HDP 07) 26 th -28 th June 2007 Shanghai, China Wafer Level Packaging & Bumping A view from a European

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING September 2011 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

Printed Circuit Boards European Production

Printed Circuit Boards European Production Services of our European production We provide PCBs of up to 48 layers from selected European suppliers. Here we do not only focus on the price but on a reasonable value for money. Based on a detailed

More information

IEEE/EPS Chapter Lecture in the Silicon Valley Area Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration

IEEE/EPS Chapter Lecture in the Silicon Valley Area Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration IEEE/EPS Chapter Lecture in the Silicon Valley Area Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration John H Lau ASM Pacific Technology john.lau@asmpt.com; 852-3615-5243 Santa Clara, CA,

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013 TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013 Welcome in Grenoble Grenoble : 3D by Nature Pour modifier: Insertion / En Tête/Pied de page -Titre de

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Memjet ML Printhead from the RapidX1 Color Label Printer

Memjet ML Printhead from the RapidX1 Color Label Printer ML210700 Printhead from the RapidX1 Color Label Printer MEMS Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com MEMS Process Review Some of the

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

Board Design Guidelines for Intel Programmable Device Packages

Board Design Guidelines for Intel Programmable Device Packages Board Design Guidelines for Intel Programmable Device Packages AN-114 2017.02.24 Subscribe Send Feedback Contents Contents 1 Board Design Guidelines for Intel Programmable Device Packages...3 1.1 Overview

More information

Agate. XwinSys. Non-Destructive Inspection and Metrology Analysis for the Semiconductor

Agate. XwinSys. Non-Destructive Inspection and Metrology Analysis for the Semiconductor Agate Non-Destructive Inspection and Metrology Analysis for the Semiconductor Hybrid Configuration of X-Ray Analysis, Automated 3D Microscope and 2D Image Processing XwinSys Agate NON-DESTRUCTIVE ANALYSIS

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information