The Xilinx UltraScale Architecture

Size: px
Start display at page:

Download "The Xilinx UltraScale Architecture"

Transcription

1 The Xilinx UltraScale Architecture Stephanie Rupprich School for Computer Science Heidelberg University, Ruperto Carola Heidelberg, Germany Abstract In the last few years, the capabilities of electronic devices have developed very quickly. End-consumers continue to ask for more bandwidth, more storage and higher resolution in the devices they buy. The FPGA manufacturer Xilinx describes this as the so-called More is Better mindset. In order to keep pace with the increasing market demands, Xilinx introduced the UltraScale architecture in It is supposed to tackle the main bottleneck of their previous-generation 7 series FPGAs, the interconnect, by implementing so-called Fast Tracks. Based on the 7 series, processing and bandwidth capabilities were improved and some other innovations were realised in the devices, e.g. ASIC-like clocking. In this paper, the history of FPGA generations is briefly reviewed and two FPGAs will be discussed in more detail: Xilinx previous-generation Virtex-7 FPGA and the current-generation Stratix 10 device by Altera. Subsequently, the Xilinx UltraScale architecture is introduced and its new features described. Afterwards, it is evaluated in comparison to the Virtex-7 device and Altera s Stratix 10 FPGA. Finally, all findings are sumarized and a conclusion is given. I. INTRODUCTION Since the UltraScale architecture is an FPGA architecture recently introduced by Xilinx, the term FPGA will be briefly discussed in this section. FPGA is the abbreviation for Field-Programmable Gate Array which is a general purpose semiconductor device programmed after manufacturing. This distinguishes it from Application-Specific Integrated Circuits (ASICs) and Application-Specific Standard Products (ASSPs), which, as their name suggests, are developed for a single application. In contrast to FPGAs, their hardware function is predefined and cannot be changed once the manufacturing process is finished. Consequently, when using FPGAs, the product features, which may be ASIC functions, are programmed first. Afterwards, the software which will be running on the previously configured hardware is developed. FPGAs are used for various security, audio, video and image processing functions in the fields of automotive, aerospace and defense, broadcast, consumer electronics, medical and wired and wireless communication. [1] [3] An FPGA consists of programmable building blocks (shown in figure 1) as well as a configuration memory which stores the description of the hardware functionality. The most primitive building block is a logic block (configurable logic block (CLB), logic cell (LC) or logic element (LE)). In figure 1, it is highlighted yellow. In an FPGA, CLBs are arranged in form of a matrix and contain Look-Up-Tables (LUTs) for logical functions and flop flops for storage. The Input/Output (I/O) blocks, which are marked blue in figure 1, are placed at the Fig. 1. FPGA Architecture [4] edges of the FPGA. They are the ideal interface bridge as they support many I/O standards. The programmble interconnect (represented as green lines in figure 1) is responsible for routing the signals between the CLBs and to and from the I/O blocks. They are placed in form of horizontal and vertical wires which span the whole device to provide low-skew clocking. Additionally, there are special-function building blocks, e.g. configurable block RAM (BRAM) for data storage, builtin multipliers and Digital Signal Processing (DSP) blocks for multiplication and mathematical signal manipulation, as well as multi-gigabit transceivers (which are basically Serializer- Deserializer (SerDes) operating at bit rates of more than 1Gbit/s) as high-performance interfaces. [1] [3], [5] [10] A. Overview II. FPGA GENERATIONS With each new generation of semiconductors, there is also a new generation of FPGAs. Approximately every two years, there is a new generation announced with a smaller processing size than the previous generation. For example, while the FPGAs introduced in 2004 had a process size of 90nm, the current-generation FPGAs are produced at 16nm or even at 14nm. In order to place the transistors on the die

2 even more densely, their size is reduced more and more, for example by changing the material of the gate or the gate oxide. Additionally, the shape of the transistor is changing from planar (2D) to 3D. The main manufacturers of FPGAs are Xilinx and Altera. Up to the last generation FPGAs, both manufacturers had three device families: low-cost (Xilinx Spartan / Artix, Altera Cyclone), mid-range (Xilinx Kintex, Altera Arria) and high-end (Xilinx Virtex, Altera Stratix). [11] [27] In the following sections, two high-end device families will be discussed in more detail: the Virtex-7, a previous-generation FPGA by Xilinx and the Stratix 10, a current-generation device by Altera. Afterwards, the current-generation UltraScale devices by Xilinx will be introduced. B. Example 1: The Xilinx Virtex-7 FPGA The Virtex-7 FPGA was introduced in 2010 and initial devices were available in It is manufactured on TSMC s 28nm High-K Metal Gate (HKMG) High Performance and Low power (HPL) process technology. The main transistor improvements include the use of a high-k gate oxide and a metal gate instead of silicon oxide as the gate oxide and a polysilicon gate. The result is a reduced leakage which has been the problem in traditional transistors since thinning the dielectric was not possible any more. The whole 7 series (Spartan, Kintex and Virtex families) has one unified architecture which allows one to start designing on one device family and easily switch to another one if there is a change in performance and cost requirements. Being part of the architecture, the AXI interconnect is conform to ARMs AMBA AXI v4 specification and connects AXI memory-mapped master devices to memorymapped slave devices. Finally, the architecture is a so-called Application-Specific Modular Block (ASMBL) architecture, which is an FPGA development model for adopting offthe-shelf, flexible solutions for different application domains. Therefore, FPGA logic is structured into long, narrow stripes which are defined during the silicon manufacturing stage and are either a standard CLB or a function-specific block (DSP, etc.). [15], [25], [26], [28] [36] According to Xilinx, the Virtex-7 has twice the system performance or half the power consumption than the Virtex-6 devices: it can operate at up to 600MHz or with less than 2W. With up to 5, 335GMAC/s, the DSP performance is almost doubled and there are up to 3,600 DSP slices placed on the chip. Moreover, the I/O bandwidth is increased by 60%: up to 2, 784Gb/s with up to 96 high-speed serial transceivers. The memory bandwidth is also twice as high (1, 886Mb/s for DDR3) and so is the density with almost 2, 000k logic cells. [15], [28] [30] C. Example 2: The Altera Stratix 10 FPGA In June 2013, Altera announced their Generation 10 FPGAs and System-on-a-Chips (SoCs). First test-chips were available in 2013 while the early-access design software for customers will be released in summer The Stratix family has always been Alteras high-end solution and this has not changed with the Stratix 10. It is manufactured on Intel s 14nm Tri- Gate process technology. Due to the 3D transistors with an increased effective channel width (and therefore an increased drive strength), higher performance can be archieved in the same die area. Moreover, the Stratix 10 is based on Altera s HyperFlex architecture. It is a new core fabric architecture which aims to overcome the limitations of conventional architectures, particularly increasing bus widths, routing congestion and interconnection delays. This is supposed to result in a higher performance and power efficiency. Additionaly, heterogeneous 3D solutions will be available which allow the mixing and matching of FPGAs, SRAM, DRAM and ASICs based on the application requirements. [20], [37] [43] The Stratix 10 is supposed to set a new level in system performance. Compared to previous-generation FPGAs, the core performance of up to 1GHz is twice as high or the power could be decreased by 70%. According to Altera, the DSP performance will be more than 10T F LOP/s at 100GF LOP s/w. With up to 144 transceivers, the highest transceiver bandwidth of up to 8, 064Gb/s is four times as high as in the previous-generation devices. Additionally, the memory bandwidth for DDR4 memory will be up to 3, 200MB/s. Finally, with more than four million logic elements, the Stratix 10 will be the largest monolithic FPGA on the market. [20], [37] [42] III. THE XILINX ULTRASCALE ARCHITECTURE A. The 7 Series as Foundation for Success Xilinx decided to build their new UltraScale architecture upon the foundation of their successful previous-generation 7 series FPGAs. There are three main cornerstones: the silicon process technology, the Stacked Silicon Interconnect (SSI) technology and the Vivado Design Suite. As mentioned in II-B, the 7 series is fabricated using the 28nm HPL process technology. Xilinx is cooperating with TSMC and they will continue this partnership in order to manufacture the UltraScale architecture devices using the 20nm 20Soc planar and 16nm FinFET process technologies. The production of higher-capability FPGAs than traditional manufacturing methods can offer with individually packaged dies is enabled by the SSI technology. It allows the combination of multiple super-logic regions (SLRs) on a passive interposer layer using dedicated interface tiles to create a single FPGA with less than 1000 inter-slr connections. While the first-generation SSI technology was based on the 7 series, the second generation will be based on the UltraScale architecture. Also introduced with the 7 series, the Vivado Design Suite attacks key bottlenecks in programmable systems integration and implementation. There are new approaches for placement and routing where multi-variable cost functions enable faster finding of the optimal solution, even at a device utilization higher than 90%, without a trade-off in performance. Soft-

3 TABLE I XILINX ULTRASCALE DEVICES AND MAXIMUM CAPABILITY Maximum Capability Kintex UltraScale Virtex UltraScale Introduction 2013 Shippment 2014 Process Technology 20nm planar 20nm planar, 16nm FinFET Logic Cells 1, 160K 4, 407K Block RAM 76Mb 115Mb DSP48 Slices 5, 520 2, 880 Peak DSP Performance 8, 180GMAC/s 4, 268GMAC/s s Peak Speed 13.6Gb/s 32.75Gb/s Peak Serial Bandwidth 2, 086Gb/s 5, 101Gb/s PCIe Blocks g Ethernet Blocks G Interlaken Blocks 1 9 Memory Interface 2, 400Mb/s I/O Pins 832 1, 456 Specialization Signal Processing Processing, Bandwidth, Throughput Fig. 2. More Interconnect Tracks Due to Fast Track Routes [50] and hardware were improved concurrently, which Xilinx calls co-optimization. [20], [37] [42] B. Market Requirements Nowadays, all kinds of electrical devices experience a rapid development in their capabilities. This is the so-called More is Better mindset. For example, at the moment, there are digital video applications in 1080p, but the step to 4k (Quad HD) is not far. Further, but still in sight, there will be video applications in 8K (Super Hi-Vision) resolution. This is only one example, but in order to keep pace with the increasing demand on all system components, especially bandwith and processing capabilities, the FPGA architecture has to be fundamentally improved. This includes communication, clocking, critical paths and especially the interconnect, which has been the number one bottleneck of Xilinx FPGAs. [44] C. Device Portfolio In order to tackle the former bottlenecks, in 2013, Xilinx introduced their new UltraScale architecture. The device portfolio consists of two devices: the Kintex UltraScale, where initial devices are already available, and the Virtex UltraScale, where initial devices are supposed to be available in the second quarter of In contrast to the 7 series, Xilinx decided not to distinguish their device portfolio by performance and cost requirements. Instead, the devices are differentiated by their specialization for different target applications. The Kintex UltraScale is optimized for signal processing and tasks of the last-generation high-end devices while the Virtex UltraScale s strengths are processing, bandwidth and throughput. This is shown by the colored cells in table I, which contains details and a comparison of the two device families capabilities. Yellow means that the FPGA is performing poorer while green stands for a higher performance. Both devices are available either in monolithic form or within the next-generation SSI technology. [30], [45] [49] D. Features Xilinx implemented a variety of features in their UltraScale architecture. In this section, the following aspects will be discussed: Scalability Data Flow and Routing Fast, Smart Processing (Bandwidth, Processing) and Other Features. The first feature of the UltraScale architecture is scalability. In the 7 series, the same lowest-level building blocks were used in different FPGA families which allowed the porting of hand-coded register-transfer-level designs to any 7 series device without modification. In the UltraScale devices, there is an additional package footprint capability across the platform allowing designs to scale to other family members with a different resource mix. Another kind of scalability is the availability of the Virtex UltraScale in either 20nm planar or 16nm FinFET technology. [23], [45] Another innovation improving data flow and routing is the implementation of Fast Tracks. The issue with routing is that with decreasing FPGA process sizes, the number of logic elements increases a lot faster than the number of interconnect tracks (see figure 2). Fast Tracks carry data between logically connected, but not necessarily adjacent logic cells. Thus, the software has more options to connect logic resources in the optimal way. Consequently, routing congesting is supposed to be eliminated. According to Xilinx, if the design fits, it routes. This is supposed to be true for device utilizations of more than 90% without trade-offs is performance or latency. [23], [45], [46], [50] In order to improve processing capabilities, the UltraScale architecture contains improved bandwidth and processing ca-

4 pabilities. For fast I/O, all devices contain GTH transceivers with a bandwidth of 500M b/s up to 16.3Gb/s. Virtex devices additionally contain GTY transceivers, which support bandwidths of up to 32.75Gb/s. Both include internal gearbox logic which operates at hundreds of MH/s and makes an external gear box redundant. For the sake of a higher memory bandwidth, there are multiple DDR3- and DDR4-capable SDRAM memory controllers with wider and faster ports compared to the previous generation, enabling data rates of up to 2, 400M b/s. The hardened SDRAM PHY blocks reduce latency by 30% and decrease power consumption by 20%. In addition, there are several BRAM and FIFO improvements. For example, there is a cascading scheme which uses output multiplexers in a novel way allow the creation of large BRAMs and FIFOs without additional routing or logic resources. In the 7 series, only two adjacent memory blocks could be connected. Furthermore, there is a support for differently sized FIFO ports, so users can create FIFOs with a different input and output port width. There are two main enhancements in signal processing. The DSP48E2 slices provide more functionality than the DSP48E1 slices implemented in the 7 series. Due to the increased number of multipliers (from 25x18-bit to 27x18-bit), larger functions can be mapped into fewer DSP slices. The inclusion of wide-mux and wide-xor functions facilitate non-dsp computations within the DSP slices. Therefore, fewer CLBs are needed which makes them available for other functions. The packet processing capabilities are improved by the integration of hardened Gigabit Ethernet MACs and Interlaken chip-to-chip interfaces, which increase the performance and level of integration of the device. Additionally, the modified DSP slices can perform CRC32 checksum calculations at wire speed, which also boosts the packet processing performance. [23], [45], [46], [50] Other features added to the UltraScale architecture are ASIC-like Clocking, Power Management, Multi-level Security, (Re-)Configuration and Co-optimization. In the 7 series FPGAs, clocking regions were defined as half of the device width in horizontal direction. In contrast, in the UltraScale architecture, the clocking regions of the devices are rectangular shapes with a fixed width and height and they are organized in tiles. The multi-region ASIC-like clocking is designed to reduce the clock skew by 50%. Additionally, the system-level clock can now be placed anywhere on the die, which makes is easier to find the optimal clock location. According to Xilinx, enhanced power management reduces power consumption by 50% compared to the 7 series. This is due to static and dynamic power gating through silicon and software. The new clocking architecture leads to the clocks only being driven where needed which increases the gating granularity and allows part of the logic to be turned off. The GTH transceivers also have an additional low-power mode to decrease power consumption. Furthermore, the enhanced DSP capabilities decrease the amount of DSP slices needed. Finally, unused BRAM blocks can be put into a sleep mode while still preserving their data, which results in only used blocks leaking power. In order to protect the IP loaded into the devices and prevent tampering, Xilinx improved various security features, e.g. the AES bit-stream decryption and authentication, and they added key-obfuscation features. Additionally, the System Monitor monitors the device s physical environment by using the on-chip temperature and supply sensors in order to detect tampering attempts. The Configuration and Encryption block enables device configuration from external media using various protocols (e.g. PCIe). Furthermore, there is a new configuration mode which allows the configuration from two quad SPI flash memories in parallel, which is equal to the x8 configuration and significantly decreases configuration time. Finally, partial and self-reconfiguration are supported as well. The last new feature is the co-optimization, which has already been discussed in III-A. [23], [45], [46], [50] [53] E. Application Areas The application areas of the UltraScale devices are still the same as of traditional FPGAs. However, Xilinx emphasizes the applicability of their devices mainly in optical transport networking, digital video processing, wireless communications and intelligence surveillance and reconnaissance as they are all areas with the More is Better mindset, which require increasing packet processing and data flow capabilities, I/O and memory bandwidth, and DSP performance. Another application may be ASIC prototyping and emulation. [23], [50], [54], [55] IV. EVALUATION The comparison of the Xilinx devices discussed before is shown in table II while table III contains details about the current-generation devices. Red cells mean lowest performance, yellow stands for moderate and green for higher performance compared to the other devices. A. Xilinx Devices As table II shows, compared to the previous-generation Virtex-7, all capabilities were improved in either the Kintex or the Virtex UltraScale device family, depending on its specialization. While the Kintex UltraScale contains fewer logic cells than the Virtex-7 and performs more poorly in serial I/O (transceivers and number of I/O pins), its DSP performance is a lot higher. In contrast, the Virtex UltraScale has lower performance in DSP capabilities, but it is superior in all other areas. This shows Xilinx new specialization strategy. Additionally, there are a lot of minor but helpful architecture tweaks, which were already discussed in section III.

5 TABLE II MAXIMUM CAPABILITY OF XILINX DEVICES Maximum Kintex Virtex Capability 7 Virtex-7 UltraScale UltraScale Introd./Shipm. 2010/ /2014 Process 20nm planar, 28nm planar 20nm planar Technology 16nm FinFET Logic Cells 1, 955K 1, 160K 4, 407K Block RAM 68Mb 76Mb 115Mb DSP Slices 3, 600 5, 520 2, 880 Peak DSP Performance 1 5, 335s 8, 180 4, 268 (GMAC/s) s Peak Speed (Gb/s) Peak Bandwidth 3 2, 784 2, 086 5, 101 (Gb/s) PCIe Blocks G Ethernet G Interlaken Memory Interface (Mb/s) 1, , I/O Pins 1, , 456 Overall Signal Specialization Performance Processing Overall Performance TABLE III MAXIMUM CAPABILITY OF CURRENT-GENERATION DEVICES Maximum Kintex Virtex Capability 8 UltraScale UltraScale Stratix 10 Introd./Shipm. 2013/ /? Process 20nm planar, 20nm planar Technology 16nm FinFET 14nm TriGate Logic Cells 1, 160K 4, 407K > 4, 000K Block RAM 76Mb 115Mb DSP Slices 5, 520 2, 880 DSP Performance 8, 180 GMAC/s 1 4, 268 GMAC/s 1 > 10, 000 GF LOP/s 2 s Speed (Gb/s) Bandwidth 2, , , 064 (Gb/s) PCIe Blocks G Ethernet G Interlaken 1 9 Memory Interface 6 2, 400 3, 200 (Mb/s) I/O Pins 832 1, 456 Specialization Signal Processing Overall Performance B. Current-generation Devices The comparison of the UltraScale devices to the Altera Stratix 10 FPGA is shown in table III. It is noticeable that the Stratix 10 performs better in all characteristics that are known about the device so far. Only the amount of logic cells is comparable to the Virtex UltraScale device. Even in DSP performance, which is the Kintex UltraScale FPGA is optimized for, the Stratix 10 superior. However, it is important to know that all facts about Altera s Stratix 10 are derived from press releases as the device is not on the market yet and thus there are no final data sheets available. Consequently, the numbers only show what Altera wants the Stratix 10 to be like and not how it will be in the end. The facts about the Xilinx devices come from data sheets, so even though they may be closer to reality, they are also part of marketing material and should be evaluated carefully. V. SUMMARY AND CONCLUSION Due to the More is Better mindset of end-consumers, high performance systems require massive data flow and routing, packet and DSP processing, internal and external memory bandwidth and I/O bandwidth. Xilinx promises to deliver these with their recently introduced UltraScale architecture, which consists of two devices: the Kintex UltraScale (available in TSMC s 20nm planar process technology) and the Virtex UltraScale (available either in TSMC s 20nm planar or 16nm FinFet process technology). There are many improvements on former bottlenecks, especially the interconnect. For example, Xilinx implemented Fast Tracks to provide more direct routes between logic cells. To improve I/O and memory bandwidth, the transceivers were enhanced and include internal gearbox logic. Additionally, there are several BRAM and FIFO improvements. The DSP blocks are wider than within the previous generation and facilitate non-dsp computations to save CLB resources. For better packet processing, Xilinx included hardened Ethernet and Interlaken blocks. Other features are ASIC-like clocking, power management, multi-level security, (re-)configuration and co-optimization with the software. All in all, in contrast to their earlier 7 series, which were differentiated according to price and performance requirements, Xilinx differentiates the UltraScale device families by optimizing them for different tasks. The Kintex UltraScale is superior in signal processing while the Virtex UltraScale FPGA is specialized on processing performance, I/O and memory bandwidth and data throughput. Compared to Altera s Stratix 10 FPGA, the UltraScale devices 1 based on symmetrical filter implementation 2 single-precision, hardened floating point DSP performance 3 Full Duplex 4 x8 Gen3 5 DDR3 6 DDR4 7 [15], [28] [30], [45] [49] 8 [20], [30], [37] [42], [45] [49]

6 perform poorer on all capabilities that are known about the Stratix 10 so far. In contrast to the UltraScale devices, it is not available on the market yet. Consequently, all numbers are extracted from Altera s press releases and thus contain information on how Altera wants the device to be and not how it will be. Therefore, in my opinion it is hard to compare the UltraScale devices to the Stratix 10. Compared to Xilinx previous generation, they are definitely superior in their specializations, but until the Stratix 10 and the corresponding data sheets are finally available, no final conclusion can be given. REFERENCES [1] Altera Corporation. (2014) FPGAs. Website. Altera Corporation. [Online]. Available: [2] Xilinx Inc. (2014) What is a FPGA? Website. Xilinx Inc. [Online]. Available: [3] National Instruments Corporation. (2012, May) FPGA fundamentals. Whitepaper. [Online]. Available: en/ [4] M. Abdel-Ghany. (2012, April) FPGA-911. Website. [Online]. Available: [5] F. Castro. (2012, July) Design of a 8051 microcontroller in fpga with reconfigurable instruction set. Article on design-reuse.com. Recife, Brasil. [Online]. Available: microcontroller-with-reconfigurable-instruction.html [6] J. Weber and M. Chin, Using FPGAs with embedded processors for complete hardware and software systems, in AIP Conference Proceedings, vol. 868, 2006, p [7] J. Tong, I. D. L. Anderson, and M. A. S. Khalid, Soft-core processors for embedded systems, in Microelectronics, ICM 06. International Conference on, 2006, pp [8] B. H. Fletcher, Fpga embedded processors, in Embedded Systems Conference, 2005, pp [Online]. Available: products/design resources/proc central/resource/etp-367paper.pdf [9] Xilinx, Inc., Edk overview, 2011, xilinx For Academic Use Only. [10] R. Dobai and L. Sekanina, Towards evolvable systems based on the xilinx zynq platform, in Evolvable Systems (ICES), 2013 IEEE International Conference on, 2013, pp [11] Xilinx Inc. (2014) Spartan-6 fpga family. Website. Xilinx Inc. [Online]. Available: 6/index.htm [12]. (2014) Artix-7 fpga family. Website. Xilinx Inc. [Online]. Available: index.htm [13]. (2014) Virtex-7 fpga family. Website. Xilinx Inc. [Online]. Available: index.htm [14]. (2014) Kintex-7 fpga family. Website. Xilinx Inc. [Online]. Available: 7/index.htm [15] N. Mehta, Xilinx redefines power, performance, and design productivity with three innovative 28 nm fpga families: Virtex-7, kintex-7, and artix- 7 devices, Xilinx, Inc., White Paper WP373, October [Online]. Available: papers/ wp373 V7 K7 A7 Devices.pdf [16] Altera Corporation. (2014) Altera fpgas. Website. Altera Corporation. [Online]. Available: [17], Altera s 28 nm device portfolio, Altera Corporation, Tech. Rep., May [Online]. Available: 28nm-devices.pdf [18]. (2014) About altera s cyclone fpga series. Website. Altera Corporation. [Online]. Available: cyclone-about/cyc-about.html [19]. (2014) About arria family fpgas and socs. Website. Altera Corporation. [Online]. Available: arria-fpgas/about/arr-about.html [20]. (2014) About stratix family high-end fpgas and socs. Website. Altera Corporation. [Online]. Available: fpga/stratix-fpgas/about/stx-about.html [21], Altera product catalog, Altera Corporation, Tech. Rep., November 2013, version [Online]. Available: com/literature/sg/product-catalog.pdf [22], The breakthrough advantage for fpgas with tri-gate technology, Altera Corporation, White Paper 01201, June [Online]. Available: [23] M. Santarini, Xilinx 20-nm planar and 16-nm finfet go ultrascale, Xcelljournal, vol. 84, pp. 8 15, [Online]. Available: http: // [24] Wikipedia. (2014, April) Semiconductor device fabrication. Encyclopedia Entry. Wikimedia Foundation, Inc. [Online]. Available: device fabrication [25] Wikipedia. (2013, November) Low-k dielectric. Encyclopedia Entry. Wikimedia Foundation, Inc. [Online]. Available: org/wiki/low-k dielectric [26]. (2014, March) High-k dielectric. Encyclopedia Entry. Wikimedia Foundation, Inc. [Online]. Available: dielectric [27]. (2014, June) Moore s law. Encyclopedia Entry. Wikimedia Foundation, Inc. [Online]. Available: Moore%27s law [28] B. Fienberg. (2010, June) Xilinx 7 series fpgas slash power consumption by 50and reach 2 million logic cells on industry s first scalable architecture. Press Release. Xilinx Inc. [Online]. Available: Slash-Power-Consumption-by-50-and-Reach-2-Million-Logic-Cellson-Industrys-First-Scalable-Architecture [29] Xilinx, Inc., 7 series fpgas overview, Xilinx, Inc., Advance Product Specification DS180, February [Online]. Available: sheets/ds180 7Series Overview.pdf [30] Xilinx Inc. (2014) All programmable fpgas. Website. Xilinx Inc. [Online]. Available: [31] A. L. Shimpi. (2012, May) The ipad 2,4 review: 32nm brings better battery life. Blog Entry. anandtech.com. [Online]. Available: http: // [32] Xilinx, Inc. (2014) Axi interconnect. Website. Xilinx, Inc. [Online]. Available: interconnect.htm [33], Revolutionary architecture for the next generation platform fpgas, December 2003, presentation. [Online]. Available: http: // arch pres.pdf [34] D. Bursky. (2013, December) Modular fpga architecture spawns multiple silicon optimizations. Website. electronicdesign.com. [Online]. Available: [35] T. Snowden. (2003, December) Xilinx unveils revolutionary fpga architecture, enables next-generation platform fpgas. Press Release. Xilinx, Inc. [Online]. Available: rls/silicon vir/03160arch.htm [36] Wikipedia. (2014, June) Relative permittivity. Encyclopedia Entry. Wikimedia Foundation, Inc. [Online]. Available: org/wiki/relative permittivity [37] S. Gabriel. (2013, June) Altera announces breakthrough advantages with generation 10. Press Release. Altera Corporation. [Online]. Available: [38] Altera Corporation. (2014) Stratix 10 fpgas and socs deliver 2x performance gains. Website. Altera Corporation. [Online]. Available: [39]. (2014) Stratix 10 fpgas and socs: Delivering the unimaginable. Website. Altera Corporation. [Online]. Available: com/devices/fpga/stratix-fpgas/stratix10/stx10-index.jsp [40], Meeting the power and performance imperative of the zettabyte era with generation 10, Altera Corporation, White Paper 01200, June [Online]. Available: power-performance-zettabyte-generation-10.pdf [41]. (2014) Generation 10 fpgas and socs. Website. Altera Corporation. [Online]. Available: system-tech/next-gen-technologies.html [42] S. Gabriel. (2014, May) Altera customers achieve industry milestone realizing 2x core performance gain with stratix 10 fpgas and socs.

7 Press Release. Altera Corporation. San Jose, Calif. [Online]. Available: [43]. (2012, March) Altera and tsmc jointly develop worlds first heterogeneous 3d ic test vehicle using cowostm process. Press Release. Altera Corporation. [Online]. Available: com/corporate/news room/releases/2012/corporate/nr-cowos.html [44] S. Leibson and N. Mehta, Xilinx ultrascale: The next-generation architecture for your next-generation architecture, Xilinx, Inc., Tech. Rep., July [Online]. Available: documentation/white papers/wp435-xilinx-ultrascale.pdf [45] N. Mehta, Xilinx ultrascale architecture for high-performance, smarter systems, Xilinx, Inc., Tech. Rep., December [Online]. Available: sheets/ds890-ultrascale-overview.pdf [46] Xilinx, Inc., Ultrascale architecture and product overview, Xilinx, Inc., Advance Product Specification, February [Online]. Available: sheets/ds890-ultrascale-overview.pdf [47] S. E. Gianelli. (2013, May) Xilinx and tsmc team to enable fastest time-to-market and highest performance fpgas on tsmc s 16-nanometer finfet. Press Release. Xilinx, Inc. [Online]. Available: Team-to-Enable-Fastest-Time-to-Market-and-Highest-Performance- FPGAs-on-TSMCs-16-nanometer-FinFET [48]. (2013, November) Xilinx ships industry s first 20nm all programmable product. Press Release. Xilinx, Inc. [Online]. Available: First-20nm-All-Programmable-Product [49]. (2014, January) Xilinx tapes-out first virtex ultrascale all programmable device as part of industry s only high-end 20nm family. Press Release. Xilinx, Inc. [Online]. Available: UltraScale-All-Programmable-Device-as-Part-of-Industrys-Only-High- End-20nm-Family [50] Xilinx, Inc., Introducing xilinx ultrascale architecture: Industry s first asic-class all programmable architecture, Xilinx, Inc., Tech. Rep., [Online]. Available: prod mktg/xilinx-ultrascale-backgrounder.pdf [51] S. Kolluri, Power reduction in next-generation ultrascale architecture, Xilinx, Inc., White Paper WP451, May [Online]. Available: papers/ wp451-ultrascale-pwr-reduction.pdf [52] Xilinx, Inc., Ultrascale architecture configuration, Xilinx, Inc., Advance Specification User Guide UG570, December [Online]. Available: guides/ug570-ultrascale-configuration.pdf [53], Ultrascale architecture clocking resources, Xilinx, Inc., Advance Specification User Guide UG572, December [Online]. Available: guides/ug572-ultrascale-clocking.pdf [54] M. Pecot, Xilinxs 20-nm ultrascale architecture advances wireless radio applications, Xcell Journal, vol. 87, pp , [Online]. Available: articles/ ultrascale-architecture-wireless.pdf [55] Xilinx, Inc. (2014) Ultrascale architecture. Website. Xilinx Inc. [Online]. Available:

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

UltraScale Architecture: Highest Device Utilization, Performance, and Scalability

UltraScale Architecture: Highest Device Utilization, Performance, and Scalability White Paper: UltraScale FPGAs WP455 (v1.2) October 29, 2015 UltraScale Architecture: Highest Device Utilization, Performance, and Scalability By: Nick Mehta High-performance architecture and extensive

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction white paper FPGA Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 1 s to Achieve Maximum Reduction devices leverage the innovative Intel HyperFlex FPGA architecture to achieve power savings

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

Pushing Performance and Integration with the UltraScale+ Portfolio

Pushing Performance and Integration with the UltraScale+ Portfolio White Paper: UltraScale+ Portfolio WP471 (v1.0) November 24, 2015 Introducing the UltraScale+ Portfolio Pushing Performance and Integration with the UltraScale+ Portfolio By: Nick Mehta The Xilinx UltraScale+

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Zynq Ultrascale+ Architecture

Zynq Ultrascale+ Architecture Zynq Ultrascale+ Architecture Stephanie Soldavini and Andrew Ramsey CMPE-550 Dec 2017 Soldavini, Ramsey (CMPE-550) Zynq Ultrascale+ Architecture Dec 2017 1 / 17 Agenda Heterogeneous Computing Zynq Ultrascale+

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien High-Tech-Marketing Selecting an FPGA By Paul Dillien The Market In 2011 the total PLD market was $4.97B The FPGA portion was worth $4.1B 2 FPGA Applications The dominant applications have always been

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Cost-Optimized Backgrounder

Cost-Optimized Backgrounder Cost-Optimized Backgrounder A Cost-Optimized FPGA & SoC Portfolio for Part or All of Your System Optimizing a system for cost requires analysis of every silicon device on the board, particularly the high

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Understanding Peak Floating-Point Performance Claims

Understanding Peak Floating-Point Performance Claims white paper FPGA Understanding Peak ing-point Performance Claims Learn how to calculate and compare the peak floating-point capabilities of digital signal processors (DSPs), graphics processing units (GPUs),

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Experiment 3. Digital Circuit Prototyping Using FPGAs

Experiment 3. Digital Circuit Prototyping Using FPGAs Experiment 3. Digital Circuit Prototyping Using FPGAs Masud ul Hasan Muhammad Elrabaa Ahmad Khayyat Version 151, 11 September 2015 Table of Contents 1. Objectives 2. Materials Required 3. Background 3.1.

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Expect a Breakthrough Advantage in Next- Generation FPGAs

Expect a Breakthrough Advantage in Next- Generation FPGAs Expect a Breakthrough Advantage in Next- Generation FPGAs WP-01199-1.0 White Paper This white paper covers examples of why telecommunication bandwidth and the infrastructure behind it is driving FPGA capabilities,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the

BittWare s XUPP3R is a 3/4-length PCIe x16 card based on the FPGA PLATFORMS Board Platforms Custom Solutions Technology Partners Integrated Platforms XUPP3R Xilinx UltraScale+ 3/4-Length PCIe Board with Quad QSFP and 512 GBytes DDR4 Xilinx Virtex UltraScale+ VU7P/VU9P/VU11P

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary

Xilinx 7 Series FPGA Power Benchmark Design Summary Xilinx 7 Series FPGA Power Benchmark Design Summary June 1 Copyright 1 1 Xilinx Xilinx Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

Moving a Generation Ahead with

Moving a Generation Ahead with Moving a Generation Ahead with All Programmable FPGAs, SoCs, and 3D ICs At the 28nm node, Xilinx introduced several new technologies that created an extra generation of value for customers and moved Xilinx

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

Zynq Ultrascale Mpsoc For The System Architect Logtel

Zynq Ultrascale Mpsoc For The System Architect Logtel We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with zynq ultrascale mpsoc

More information

The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK

The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK The DSP Primer 8 FPGA Technology Return DSPprimer Home Return DSPprimer Notes August 2005, University of Strathclyde, Scotland, UK For Academic Use Only THIS SLIDE IS BLANK August 2005, For Academic Use

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Soft processors as a prospective platform of the future

Soft processors as a prospective platform of the future Procedia Computer Science Volume 88, 2016, Pages 294 299 7th Annual International Conference on Biologically Inspired Cognitive Architectures, BICA 2016 Soft processors as a prospective platform of the

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results

Intel Arria 10 FPGA Performance Benchmarking Methodology and Results white paper FPGA Intel Arria 10 FPGA Performance Benchmarking Methodology and Results Intel Arria 10 FPGAs deliver more than a speed grade faster core performance and up to a 20% advantage for publicly

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric

DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric Mingyu Gao, Christina Delimitrou, Dimin Niu, Krishna Malladi, Hongzhong Zheng, Bob Brennan, Christos Kozyrakis ISCA June 22, 2016 FPGA-Based

More information

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA system development What you need to think about. Frédéric Leens, CEO FPGA system development What you need to think about Frédéric Leens, CEO About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design. 2007 : GP

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

Table 1: Example Implementation Statistics for Xilinx FPGAs

Table 1: Example Implementation Statistics for Xilinx FPGAs logijpge Motion JPEG Encoder January 10 th, 2018 Data Sheet Version: v1.0 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

SOCS BASED OPENRISC AND MICROBLAZE SOFT PROCESSORS COMPARISON STUDY CASES: AUDIO IMPLEMENTATION AND NETWORK IMPLEMENTATION BASED SOCS

SOCS BASED OPENRISC AND MICROBLAZE SOFT PROCESSORS COMPARISON STUDY CASES: AUDIO IMPLEMENTATION AND NETWORK IMPLEMENTATION BASED SOCS SOCS BASED OPENRISC AND MICROBLAZE SOFT PROCESSORS COMPARISON STUDY CASES: AUDIO IMPLEMENTATION AND NETWORK IMPLEMENTATION BASED SOCS Faroudja Abid, Nouma Izeboudjen, Dalila Lazib, Mohamed Bakiri, Sabrina

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Introduction to FPGAs. H. Krüger Bonn University

Introduction to FPGAs. H. Krüger Bonn University Introduction to FPGAs H. Krüger Bonn University Outline 1. History 2. FPGA Architecture 3. Current Trends 4. Design Methodology (short see other lectures) Disclaimer: Most of the resources used for this

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

UltraScale Architecture and Product Overview

UltraScale Architecture and Product Overview UltraScale Architecture and Product Overview Product Specification General Description Xilinx UltraScale architecture comprises two high-performance FPGA families that address a vast spectrum of system

More information

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC by Robert Sgandurra Director, Product Management On February 21 st, 2017, Xilinx announced the introduction of a new technology called RFSoC with

More information