New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation

Size: px
Start display at page:

Download "New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation"

Transcription

1 New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation Karsten Einwich, Thilo Vörtler, Thomas Arndt Fraunhofer IIS/EAS

2 Outline n Introduction n SystemC / SystemC-AMS for IP protected model exchange n HiL simulation wit SystemC / SystemC-AMS n System level verification and validation with SystemC/SystemC-AMS n Summary 2

3 Purpose of this Talk n Demonstrate SystemC / SystemC-AMS specific advantages n Give Examples for innovative use cases for virtual platforms n Inspire to think about other use cases 3

4 SystemC / SystemC AMS Ø C++ based Hardware description language for higher abstraction levels Ø Hosted and standardized by the Accellera Systems Initiatiative Ø SystemC focuses on the description digital hard- and software on higher abstraction levels Ø SystemC-AMS extends SystemC for abstract modeling of analog/mixed-signal 4

5 SystemC / SystemC-AMS Advantages n Based on standard C++ n The layered architecture provides a Lego modeling and simulation system n Extendibility n High flexibility n Exploration of new methods and technologies easily possible n Model of Computation concept permits fast system level simulations n License-free simulation and model export to nearly all platforms possible n Easy integration into other tools 5

6 Simulation performance due SystemC/SystemC-AMS abstraction possibilities F= simulation time simulated time Complete window lifter model Electronics and mechanics SystemC-AMS Software on algorithmic level n Factor F SystemC-AMS cycle accurate MCU model n Factor F VHDL-AMS / VHDL n Factor F FastMOS (Ultrasim) n Factor F (start-up only possible) Spice-like (Spectre) n Not simulatable! Source: Monica Rafaila, Georg Pelz Infineon Technologies 6

7 Challenges for the design of automotive electronic components n Combination and tight interaction of different domains electronics, hard- and software as well as mechanics, hydraulics, n Engineers of different disciplines, different companies and different cultures have to work together the terminology Babylon n Increasing safety requirements and regulations n Increasing number of feature and their interaction n Software and especially hardware dependent software 7

8 Automotive Value Chain TIER-2 (e.g. Infineon) TIER-1 (e.g. Continental) OEM (e.g. Daimler) Stim. Stim. Microcontroller Testbench 1 Hall- Sensor Eval. Eval. Multi-Domain Stimuli Door- Mechanics (OEM requirements) Hall- Sensor ECU Microcontroller Evaluation Hall- Sensor ECU Microcontroller Testbench 2 provide customer models ensure IP protection fulfil TIER-1 req. ECU Testbench ensure robust system behaviour handle complex simulations fulfil OEM requirements Automotive Value-Chain (AVC) (Wolfgang Scherr Infineon AIM) prepare test software define requirements for TIER-1 fulfil John Doe s req. 8

9 What s needed? n Cross-domain / cross company communication platform n Inexpensive (virtual) prototyping platform with short iteration cycle n Test of real-life use cases fast executable specification, a platform were you can play with n Inexpensive and fast failure injection including artificial /corner cases 9

10 Easy Tool Coupling via C-Interfaces Tool Couplings for model exchange and implementation level verification Easy Tool Coupling to special Simulator with C-Interface Customer Model Design (IP-Protection ensured) Model Exchange Simulator coupling to: Saber ModelSim/Questa NCSim Matlab CANoe, etc. Hardware in the Loop Simulation with dspace HiL/RCP Hardware source: dspace 10

11 Principle SystemC / SystemC AMS Model Exchange 11

12 Automotive Sensor Applications TIER2 TIER1 OEM pressure pulse V DD Bias Supply EEPROM Interface enable A D D A OUT uc V DD Temp. Sense A D ROM firmware OBD GND Source: Wolfgang Scherr Infineon AIM 12

13 SystemC AMS Modelexchange via Simulink Integration SystemC-AMS Model model.dll or model.so Simulink 13 13

14 Integration of a SystemC-AMS Model into different Customer Environments Matlab/ Simulink Cadence/ ncsim 14

15 SystemC AMS CANoe Integration SystemC AMS virtual Door Module 15

16 Principle dspace Model Export 16

17 Direct SystemC-AMS HiL Simulation SystemC- AMS Model ds1006.x86 17

18 SystemC / SystemC-AMS Model re-use Virtual Testbench Stimuli DUT (SC/SCA) Monitor HiL-Environment DUT (SC/SCA) dspace HiL/RCP Hardware 18

19 SystemC/SystemC AMS dspace HiL Use Cases Test of Component Specification (e.g. for IC s) Motor SystemC (AMS) executable Spec Real Hardware ECU Test of Hardware Components in a not yet existing environment Real Hardware SystemC (AMS) Environment Model 19

20 Hierarchical Error Injection 20

21 Example: Basic error class loose_wire : public error_injection_base { public: void activate(); void deactivate(); loose_wire(const std::string& port); private: void build_connect(); std::string port; sc_core::sc_signal<double> err_sig; }; void loose_wire::build_connect() { sca_eln::sca_terminal* eport=get_object<sca_eln::sca_terminal>(port.c_str()); sca_eln::sca_node* broken_wire=new sca_eln::sca_node("broken_wire"); sca_eln::sca_terminal* old_port=null; sca_eln::sca_node* old_node=null; SC_RECONNECT_PORT(*eport,*broken_wire,old_port,old_wire); sca_eln::sca_de_r* rerror=new sca_eln::sca_de_r("loose_r"); rerror->n(*broken_wire); if(old_port!=null) rerror->p(*old_port); else rerror->p(*old_node); rerror->inp(err_sig); err_sig.write(0.0); //initial short cut } void loose_wire::activate() { err_sig.write(1e8); //open wire } void loose_wire::deactivate() { err_sig.write(0.0); //short cut } 21 21

22 Example: Single Error class loose_contact: public error_injection_base { public: void activate(); void deactivate(); void sequence(); loose_contact(const std::string& port); private: loose_wire lwire; sc_core::sc_event next_activation_event; bool activated; }; void loose_contact::sequence() { while(true) { wait(next_activation_event); if(activated) lwire.activate(); else lwire.deactivate(); next_activation_event.notify(30.0,sc_ms); activated=!activated; } } ////////////////////// void loose_contact::activate() { next_activation_event.notify(); } ///////////////////// void loose_contact::deactivate() { lwire.deactivate(); activated=false; next_activation_event.cancel(); } 22

23 Example: Scenario class error_scenario : public error_injection_base { public: void sequence(); error_scenario(); private: loose_contact loose_r; loose_contact loose_s; loose_wire loose_t; }; error_scenario::error_scenario(): loose_r("*i_engine_sl1.r"), loose_s("*i_engine_sl1.s"), loose_t("*i_engine_sl1.t"){} void error_scenario::sequence() { wait(1.0,sc_core::sc_ms); } loose_r.activate(); wait(5.0,sc_core::sc_ms); loose_s.activate(); wait(10.0,sc_core::sc_ms); loose_s.deactivate(); loose_t.activate(); wait(33.3,sc_core::sc_ms); loose_t.deactivate(); wait(23.0,sc_core::sc_ms); loose_r.deactivate(); wait(12.5,sc_core::sc_ms); 23

24 Implementation Level Verification n SystemC / SystemC-AMS models are golden references n Stimuli generator for blocklevel verification n Challenges: n Timing inaccuracies / synchronization n Scenario length 24

25 initiator_cpu target_cpu target_cpu TLM RTL Implementation Level Verification TLM-Modell (SystemC) FSQM target_cpu target_pld PBM target_cpu PSM target_cpu target_pld TLM- Ergebnis target_plu target_ppu target_psm initiator _pbm target_plu target_ppu target_ppd target_ppd GTC (GPON Framer/TC) initiator_ppu initiator_ppd target_cpu initiator_ppd initiator_sce initiator_ppu PPU target_gtc SDMAG initiator _sdma PPD SDMA target _sdma target_gtc initiator_ssb initiator_llt Master PPD = Processing Pon Downstream PPU = Processing Pon Upstream PLD = Processing Lan Downstream PLU = Processing Lan Upstream Slave initiator_llt target_cpu FDMAG SDMAL initiator _plu initiator _fdma FDMA target_fdm a initiator_ssb CPU SCE (incl. Policer ) target_ppd target_plu target_llt target_ssb LLT SSB initiator _sdma SDMA target _sdma initiator_sce initiator_ssb PLU target_eim initiator_llt FDMAL initiator_fdma FDMA target _fdma initiator_ssb initiator_pld PLD initiator_llt target_cpu initiator_eim initiator_plu target_pld EIM (LAN Interfaces) Bitgenaue Ansteuerung Transaction- Checker VHDL- Ergebnis 1 a1 b1 5 ModelSim-Simulation 2 a2 3 a3 RTL-Modell (VHDL) 6 b2 7 b3 4 a4 b4 8 25

26 System Level Verification n High level sequences should be traceable in the system spec and test the integration of system level components. n The high level sequences should be reusable for lab equipment and as a reference for UVM virtual sequences n In UVM the correctness of a high level test is assumed when all monitors/ scoreboards of a building block do not detect an error. The expected system behavior is therefore spread into several points of the design n A coverage mechanism is needed to check, whether all specified test cases and input sequences are checked n An mechanism is therefore needed to specify: n Test sequence specification n Expected Results there is no golden reference or simple rule 26

27 UVM for SystemC / SystemC-AMS n UVM defines a reusable test bench architecture for Assertion Based Verification to randomize data n The main focus of UVM is the exhaustive verification of digital blocks 27

28 Testbench Architecture Transactions needed between Driver and Sequence Library Core Sequence Library Core Driver DUT SPI Driver SPI Sequence Library Core Monitor Assertion/Evaluation - function Library SPI Monitor Sensor Library Method calls Configures used functions Method calls Test Sequence/ Expected Result description n Driver communicate with DUT, Monitors sample DUT values n Library of drivers/monitors and assertions required n Assertions/Evaluation functions are test case specific and can be bound into monitor 28

29 Why UVM for Mixed Signal System Level n Industry standard in digital verification n Facilitates the development of reusable verification components n Implementation only available in SystemVerilog à Currently being ported to SystemC Challenges for AMS implementation n Randomization & coverage of analog signals n Assertions supporting analog events n Description of system level test cases 29

30 UVM Limitations for System Level Verification n Generation of expected behavior n No golden reference available n Usually no simple rule between stimulation and expectation n Synchronization of drivers and monitors n Control of driver timing n Assertions are practically applicable for simple low level checks only n They are usually located in the monitor n Coverage for AMS / System level 30

31 Challenges in AMS System Level Test n System level tests are derived from the requirements and/or specification n Tests are the foundation for both simulation and lab validation n Especially in AMS, test often contain timing uncertainties n e.g. between 0 ms and 5 ms, the output should be set to 1 n Sequences will be run on UVM (virtual) sequencers Test_main scv_smart_ptr< int> data; data- >keep_only(0,7); system_init(); t_wait(10us,10ms); spi_channel_cfg(); t_wait(1us,10us); sensor_channel_en(data); Init_sync_en() System_init() Initial condition(); ramp_up_voltage(0,12.5,0.5,10us); wait(16420us); releasecorereset(); wait(100us); releaseµcontrollerreset(); Spi_channel_cfg synchronization mode() set_sensor_data_length() set_transmission_rate() Sensor_channel_en(data) If (data = 0) Send_spi_data( b). If (data = 8) Send_spi_data( b) Wrapper for transmission of data to a sensor channel, calls spi sequences Init_sync_en sensor_com_init(init_sync_mode) 31

32 Example: SPI stimulus SPI Library Test_main Sensor Library Core Library scv_smart_ptr< int> data; data- >keep_only(0,7); system_init(); t_wait(10us,10ms); spi_channel_cfg(); t_wait(1us,10us); sensor_channel_en(data); Init_sync_en() System_init() Initial condition(); ramp_up_voltage(0,12.5,0.5,10us); wait(16420us); releasecorereset(); wait(100us); releaseµcontrollerreset(); Spi_channel_cfg synchronization mode() set_sensor_data_length() set_transmission_rate() Sensor_channel_en(data) If (data = 0) Send_spi_data( b). If (data = 8) Send_spi_data( b) Wrapper for Transmission of data to a sensor channel, calls function of spi library Possible execution traces Trace1: system_init(); wait(11us); spi_channel_cfg(); wait(7us); sensor_channel_en(3); Init_sync_en(); Trace2: system_init(); wait(5ms); spi_channel_cfg(); wait(3us); sensor_channel_en(0); Init_sync_en(); Init_sync_en sensor_com_init(init_sync_mode) 32

33 VERDI Technical Approach Standardized technologies as SystemC/AMS, UVM, TLM, IP-XACT are being extended to allow system level verification and automatic test setup System level test scenarios shall be reused without modification between verification and validation 33

34 SystemC/SystemC AMS Testbench reuse Virtual Testbench Stimuli DUT (SC/SCA) Monitor dspace HiL/RCP Hardware 34

35 Summary n SystemC/SystemC-AMS based modeling methods enable novel use cases for virtual models n Executable specifications become reality and an IP protected model exchange between different companies and worlds is feasible n The abstraction possibilities of SystemC/SystemC-AMS are the enabler for real time HiL simulation of executable specifications n Novel system level verification and validation concept can be explored and developed 35

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS Stephan Schulz Head of Heterogeneous System Specification Fraunhofer IIS/EAS About Fraunhofer Facts and figures Fraunhofer Association Fraunhofer

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics Mastering Unexpected Situations Safely Chassis & Safety Vehicle Dynamics System Evaluation of UVM-SystemC Coside Usergroup Meeting 18.10.2016 www.continental-corporation.com Division Chassis & Safety Agenda

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Building a complex signal processing function requires a deep understanding of the signal characteristics

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Simplifying UVM in SystemC

Simplifying UVM in SystemC Simplifying UVM in SystemC Thilo Vörtler 1, Thomas Klotz 2, Karsten Einwich 3, Felix Assmann 2 1 Fraunhofer IIS, Design Automation Division, Dresden, Germany Thilo.Voertler@eas.iis.fraunhofer.de 2 Bosch

More information

Analog Mixed Signal Extensions for SystemC

Analog Mixed Signal Extensions for SystemC Analog Mixed Signal Extensions for SystemC White paper and proposal for the foundation of an OSCI Working Group (SystemC-AMS working group) Karsten Einwich Fraunhofer IIS/EAS Karsten.Einwich@eas.iis.fhg.de

More information

UVM-SystemC Standardization Status and Latest Developments

UVM-SystemC Standardization Status and Latest Developments 2/27/2017 UVM-SystemC Standardization Status and Latest Developments Trevor Wieman, SystemC CCI WG Chair Slides by Michael Meredith, Cadence Design Systems 2 Outline Why UVM-SystemC? UVM layered architecture

More information

Advancing system-level verification using UVM in SystemC

Advancing system-level verification using UVM in SystemC Advancing system-level verification using UVM in SystemC Martin Barnasconi, NXP Semiconductors François Pêcheux, University Pierre and Marie Curie Thilo Vörtler, Fraunhofer IIS/EAS Outline Introduction

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

ISO26262 This Changes Everything!

ISO26262 This Changes Everything! Subset of material used at this year s DVCon Europe ISO26262 This Changes Everything! John Brennan, Viktor Preis Cadence Design Systems, Inc. Accellera Systems Initiative 1 Four disruptive trends in Automotive

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

What is needed on top of TLM-2 for bigger Systems?

What is needed on top of TLM-2 for bigger Systems? What is needed on top of TLM-2 for bigger Systems? Jerome Cornet - ST Martin Schnieringer - Bosch GmbH Accellera Systems Initiative 1 Agenda Introduction Example of Serial Protocols TLM Standard Design

More information

SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC

SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC SystemC Standardization Update Including UVM for SystemC Introduction to the Universal Verification Methodology in SystemC Andy Goodrich, Cadence Design Systems Outline Introduction and motivation UVM

More information

Development of a modern Airbag System Prototype COSIDE User Experience

Development of a modern Airbag System Prototype COSIDE User Experience Development of a modern Airbag System Prototype COSIDE User Experience Dr. Thang Nguyen (Infineon Technologies Austria AG) SystemC AMS COSIDE User Group Meeting 2014 Agenda 1. Overview of Airbag System

More information

Analog Verification Concepts: Industrial Deployment Case Studies

Analog Verification Concepts: Industrial Deployment Case Studies Analog Verification Concepts: Industrial Deployment Case Studies Frontiers in Analog CAD (FAC 2014) July, 9-10, 2014, Grenoble, France Peter Rotter, Infineon Technologies AG Agenda Analog Verification

More information

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON Torsten Reich, Fraunhofer IIS/EAS IIP Analog IP with Intelligent IP from system to silicon What is Intelligent IP (on silicon level)? Intelligent IP

More information

Ensuring System Integrity through Advanced System Software Verification

Ensuring System Integrity through Advanced System Software Verification Mike Bartley, TVS Ensuring System Integrity through Advanced System Software Verification Test and Verification Solutions Helping companies develop products that are: Reliable, Safe and Secure Our Opportunities

More information

Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC

Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC International Journal of Computer Science & Emerging Technologies (E-ISSN: 2044-6004) 258 Integrating MATLAB with Verification HDLs for Functional Verification of Image and Video Processing ASIC Dhaval

More information

UVM in System C based verification

UVM in System C based verification April, 2016 Test Experiences and Verification of implementing Solutions UVM in System C based verification Delivering Tailored Solutions for Hardware Verification and Software Testing EMPLOYEES TVS - Global

More information

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont.

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont. UVM for VHDL Fast-track Verilog for VHDL Users Course Description Verilog for VHDL Users is an intensive 2-day course, converting knowledge of VHDL to practical Verilog skills. Contrasting Verilog and

More information

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation by Tao Jia, HDL Verifier Development Lead, and Jack Erickson, HDL Product Marketing

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

6 Month Certificate Program in VLSI Design & Verification with Industry Level Projects. Tevatron Technologies Prívate Limited 6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects.. : Tevatron Technologies Prívate Limited Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy!

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Virtual Hardware ECU How to Significantly Increase Your Testing Throughput! Elektrobit Tech Day Jason Niatas Synopsys Inc. July 27, 2017 2017 Synopsys, Inc. 1 Agenda Automotive electronic evolution and

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist

Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist Verification Group, Synopsys Inc janick@synopsys.com Transactors Definition Building blocks of verification environments

More information

Stacking UVCs Methodology. Revision 1.2

Stacking UVCs Methodology. Revision 1.2 Methodology Revision 1.2 Table of Contents 1 Stacking UVCs Overview... 3 2 References... 3 3 Terms, Definitions, and Abbreviations... 3 4 Stacking UVCs Motivation... 4 5 What is a Stacked UVC... 6 5.1

More information

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc.

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. stuart@cadence.com The Verification Problem System Level Verification is typically done last, is typically

More information

Model-Based Design of Automotive RT Applications

Model-Based Design of Automotive RT Applications Model-Based Design of Automotive RT Applications Presentation Modeling approach Modeling concept Realization in tool chain Use cases Challenges in the automotive environment The automotive electronics

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE

UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE Lakhan Shiva Kamireddy 1 and Lakhan Saiteja K 2 1 Department of Electrical and Computer Engineering, University of Colorado, Boulder,

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Compatible Qualification Metrics for Formal Property Checking

Compatible Qualification Metrics for Formal Property Checking Munich - November 18, 2013 Formal Property Checking Senior Staff Engineer Verification Infineon Technologies Page 1 Overview Motivation Goals Qualification Approaches Onespin s Coverage Feature Certitude

More information

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Sean Boylan Duolog Technologies Outline Motivation - VSP Quality Programming Sequences Applying Sequences Tools for Sequences

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

AVS: A Test Suite for Automatically Generated Code

AVS: A Test Suite for Automatically Generated Code AVS: A Test Suite for Automatically Generated Code Ekkehard Pofahl Ford Motor Company Torsten Sauer Continental Automotive Systems Oliver Busa TUV Rheinland Industrie Service GmbH Page 1 of 22 AVS: Automotive

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I ECE 587 Hardware/Software Co-Design Spring 2018 1/23 ECE 587 Hardware/Software Co-Design Lecture 11 Verification I Professor Jia Wang Department of Electrical and Computer Engineering Illinois Institute

More information

Functional Verification in 8-bit Microcontrollers: A Case Study

Functional Verification in 8-bit Microcontrollers: A Case Study Functional Verification in 8-bit Microcontrollers: A Case Study Walter Soto Encinas Jr Walter.Encinas@motorola.com César Augusto Dueñas M. Cesar.Duenas@motorola.com Brazil Semiconductor Technology Center

More information

Verification, Validation, and Test with Model-Based Design

Verification, Validation, and Test with Model-Based Design 2008-01-2709 Verification, Validation, and Test with Model-Based Design Copyright 2008 The MathWorks, Inc Tom Erkkinen The MathWorks, Inc. Mirko Conrad The MathWorks, Inc. ABSTRACT Model-Based Design with

More information

VT System Smart HIL Testing

VT System Smart HIL Testing VT System Smart HIL Testing V1.0 2010-06-04 Agenda > ECU Testing Testing a Door Control Unit Summary and Outlook Slide: 2 ECU Testing I/O Access for ECU Testing ECU has to be tested in its natural environment

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Open Verification Methodology (OVM)

Open Verification Methodology (OVM) Open Verification Methodology (OVM) Built on the success of the Advanced Verification Methodology (AVM) from Mentor Graphics and the Universal Reuse Methodology (URM) from Cadence, the OVM brings the combined

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Developing a SoC is a risky business in terms of getting it right considering the technical complexity

More information

Verification of Power Management Protocols through Abstract Functional Modeling

Verification of Power Management Protocols through Abstract Functional Modeling Verification of Power Management Protocols through Abstract Functional Modeling G. Kamhi, T. Levy, Niranjan M, M. Mhameed, H. Rawlani, R. B. Rajput, E. Singerman, V. Vedula, Y. Zbar Motivation Microprocessor

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information

FPGA chip verification using UVM

FPGA chip verification using UVM FPGA chip verification using UVM Ravi Ram Principal Verification Engineer Altera Corp Charles Zhang Verification Architect Paradigm Works Outline Overview - Verilog based verification environment - Why

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr. Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog Paradigm Works, Inc. Dr. Ambar Sarkar Session # 2.15 Presented at Module- or Class-Based URM? A Pragmatic

More information

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard Sneak Preview of the Upcoming SystemC AMS 2.0 Standard Martin Barnasconi, AMSWG chairman, 18 th NASCUG, DAC 2012 Analog Mixed Signal applications Image courtesy of STMicroelectronics Communications Imaging

More information

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Mike Baird WHDL Willamette, OR mike@whdl.com Bob Oden UVM Field Specialist Mentor Graphics Raleigh, NC bob_oden@mentor.com Abstract

More information

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP

System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP , pp.221-230 http://dx.doi.org/10.14257/ijca.2014.7.2.21 System-Level Verification Platform using SystemVerilog Layered Testbench & SystemC OOP Young-Jin Oh and Gi-Yong Song * Department of Electronics

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

Verification and Validation Introducing Simulink Design Verifier

Verification and Validation Introducing Simulink Design Verifier Verification and Validation Introducing Simulink Design Verifier Goran Begic, Technical Marketing Goran.Begic@mathworks.com June 5, 2007 2007 The MathWorks, Inc. Agenda Verification and Validation in Model-Based

More information

Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM

Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM Vijay Mukund Srivastav 1,Anupam Maurya 2, Prabhat Kumar 3, Juhi 4, VerifLabs 1,2, VerifWorks 3, Vecima

More information

Network simulation with. Davide Quaglia

Network simulation with. Davide Quaglia Network simulation with SystemC Davide Quaglia Outline Motivation Architecture Experimental results Advantages of the proposed framework 2 Motivation Network Networked Embedded Systems Design of Networked

More information

Using Cost Effective Distributed HIL for Rapid Prototyping

Using Cost Effective Distributed HIL for Rapid Prototyping Using Cost Effective Distributed HIL for Rapid Prototyping Renesas Electronics America Inc. Enabling Smart Solutions Embedded Control Systems need Hardware-in-Loop Simulation 2 Innovation using HIL Simulation

More information

ID 020C: Hardware-in-Loop: System Testing Without the System

ID 020C: Hardware-in-Loop: System Testing Without the System ID 020C: Hardware-in-Loop: System Testing Without the System Applied Dynamics International Marcella Haghgooie Sr. Field Applications Engineer 13 October 2010 Version: 1.2 Marcella Haghgooie Sr. Field

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

UVM: The Next Generation in Verification Methodology

UVM: The Next Generation in Verification Methodology UVM: The Next Generation in Verification Methodology Mark Glasser, Methodology Architect February 4, 2011 UVM is a new verification methodology that was developed by the verification community for the

More information

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow jim@mathworks.com 2014 The MathWorks, Inc. 1 Model-Based Design: From Concept to Production RESEARCH DESIGN

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

From Design to Production

From Design to Production From Design to Production An integrated approach Paolo Fabbri Senior Engineer 2014 The MathWorks, Inc. 1 Do you know what it is? Requirements System Test Functional Spec Integration Test Detailed Design

More information

Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it*

Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it* Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it* Institut für Computertechnik ICT Institute of Computer Technology Univ.Prof. Dr. habil. Christoph Grimm Chair Embedded Systems

More information

Variants and Traceability as the Challenge

Variants and Traceability as the Challenge Variants and Traceability as the Challenge Model-Based Test Design as an Answer V1.0 2016-11-12 Motivation We are talking about automated ECU testing: Module tests, sub system tests, MIL, SIL, HIL Challenges

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1 Industrial Integration Flows based on -XACT Standards Wido Kruijtzer 1, Pieter van der Wolf 1, Erwin de Kock 1, Jan Stuyt 1, Wolfgang Ecker 2, Albrecht Mayer 2, Serge Hustin 3, Christophe Amerijckx 3,

More information

Model-Based Design: Design with Simulation in Simulink

Model-Based Design: Design with Simulation in Simulink Model-Based Design: Design with Simulation in Simulink Ruth-Anne Marchant Application Engineer MathWorks 2016 The MathWorks, Inc. 1 2 Outline Model-Based Design Overview Modelling and Design in Simulink

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals Rüdiger Schroll *1) Wilhelm Heupke *1) Klaus Waldschmidt *1) Christoph Grimm *2) *1) Technische Informatik *2) Institut für Mikroelektronische

More information

Mixed-Signal Extensions for SystemC

Mixed-Signal Extensions for SystemC Mixed-Signal Extensions for SystemC K. Einwich Ch. Grimm P. Schwarz K. Waldschmidt Fraunhofer IIS/EAS Univ. Frankfurt Zeunerstraße 38 Robert-Mayer-Straße 11-15 D-01069 Dresden, Germany D-60054 Frankfurt,

More information

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint Andrei Vintila, AMIQ Consulting, Bucharest, Romania (andrei.vintila@amiq.com)

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG ISSC 2008, Galway, June 18-19 THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG Martin Keaveney, Anthony McMahon, Niall O Keeffe *, Kevin Keane, James O Reilly *Department of Electronic

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information