MPSoC Approaches for Low-power Embedded Soc's

Size: px
Start display at page:

Download "MPSoC Approaches for Low-power Embedded Soc's"

Transcription

1 MPSoC Approaches for Low-power Embedded Soc's Development Dept. 1 Toshihiro Hattori, June 26, 2007 Outline Approaches for Embedded MPSoC SH-MobileG1 : AP+BB Onechip SH-X3: SuperH SH-4A Quad-Core EXREAL Platform: Solution Platform for MPSoC Conclusion 2 1

2 Approaches for Embedded MPSoC Embedded MPSoC Specific : Optimized for the embedded systems Low Power: Less than 5W, Less than 1W Embedded Software: Optimized HW/SW for the embedded system (1)Heterogeneous AMP : Integration of subsystems SH-MobileG1 : One chip integration of & BB (2) Homogeneous AMP : Hetero-OS / Homo-OS SH-X3 : SuperH core for Multi-processor (3) Homogeneous SMP : SMP OS SH-X3 : SuperH core for Multi-processor (4) Automatic Parallelizing Compiler SH-X3 : SuperH core for Multi-processor 3 SH-MobileG1: AP+BB Onechip ref: T. Hattori, et. al., A power management scheme controlling 20 power domains for a single chip mobile processor. ISSCC Dig. Tech. pp , Feb 2006 M. Ito, et. al., SH-MobileG1: A Single-Chip and Dual-mode Baseband Processor., HOTCHIPS 18, Aug

3 3G Multi-Media Cellular Phone System HPA High Power Amplifier RFIC Radio Frequency IC Baseband Processor Processor Multi-Media Accelerator Previous System HPA High Power Amplifier RFIC Radio Frequency IC One Chip SH-MobileG1 New System Using G1 5 A Sample of System Architecture using G1 Video Camera Audio M/W M/W M/W Realtime OS (ITR, etc.) Camera Mail Video Phone Browser Telephone API File System JAVA Device Driver General Purpose OS (Symbian, Linux, etc.) GSM/WCDMA Protocol Stack Realtime OS (ITR, etc.) -Realtime domain AP-RT (SH) SuperHyway Bus Multi-Media Accelalators -System domain APSYS (ARM) Bridge SuperHyway Bus Bridge System Baseband domain BB- (ARM) W-CDMA IP GSM/GPRS IP SD SD 6 3

4 SH-MobileG1: Chip Overview CPG DDR Sound Die size 11.15mm x 11.15mm W-CDMA AP-Misc AP-SYS Process 90nm LP 8M(7Cu+1Al) CMOS dual-vth BB- 3D G MPEG Misc JPEG BB- Camera LCDCMedia APL-RT GSM S Supply voltage # of TRs, gate, memory 1.2V(internal), 1.8/2.5/3.3V(I/O) 181M TRs, 13.5M Gate 20.2 Mbit mem 7 G1 Module Diagram AHB Bus BB Core Block I- D- Cache Cache MMU DMAC INT ARM9 AP-SYS Block ARM9 I- D- Cache Cache MMU APB Bus WCDMA Block MODEM BB Main Bus BBSC GSM Block MODEM SYSC WUC AP-RT Block CPG BB INTC BB SH-X2 XY I- D- Cache Cache U MMU Media Bus LCDC VIO VPU JPU ABB LCD Camera APBB SuperHyway (SYS) INTC DMAC BSC SYS MFI CPG AP HP Bus (SYS) (SYS) S2S SuperHyway (RT) SBSC DMAC 3DG (RT) HP Bus (RT) INTC RT MFI 8 4

5 erbscsiaeriacommunication Architecture - G1 keeps the communication paths (MFI and Serials) used in the previous system for software reuse SH-MobileG1 (1 LSI with 3 s) Baseband APBB AP-SYS S2S AP-RT Baseband LSI lbbscbsc AP-RT LSISAP-SYS LSI lprevious System with 3 LSIs Serial Serial MFI Memory MFI MFI 9 Communication Architecture (Cont d) - AP-SYS and AP-RT share SD and memory map - AP and BB have different SD and memory map - APBB bridge supports access window scheme to access the resource in the other memory map Flash BB SD AP Access Window Using AP-BB bridge BB Periphral Regs BB Address Map Map any region of AP address by register settings Map any region of BB address by register settings Flash SYS Periphral Regs AP SD BB Access Window Using AP-BB bridge RT Periphral Regs AP Address Map 10 5

6 Interrupt Control - Each has its INT controller - MFI can generate inter-domain interruptions - Some external pins generate interrupts for each Baseband AP-SYS AP-RT INTC (BB) INTC (SYS) INTC (RT) (BB) MFI (SYS) MFI (RT) 11 System Control Baseband Reset Clock AP-SYS Reset Clock Reset Clock AP-RT S:Semaphore Register P:Power Down Register W:Wake-up Register B: Boot Control Register Boot Address CPGBB CPGAP S P W B S P W B S P W B Reset Reset SYSCBB Control SYSCSYS Control SYSCRT Power Control SYSC (Common) PLLs Semaphore Free:00 RT:01 SYS:10 BB:11 Boot Address PLLs Boot Control (Master, Boot Address for each ) Boot Address Boot Mode RESET WakeUp 12 6

7 Power Domain (Cont d) 20 hierarchical domains for partial power-off Chip Floorplan Power Domains CPG DDR Sound W-CDMA AP-Misc AP-SYS BB- Misc 3D G MPEG JPEG Camera BB- LCDCMedia APL-RT GSM S BC AC BW2 A2 BA2 C4 BA4 BA3 A1R BG1 BG3 BG2 BW3 BW1 A4U2 C5 A4 A1A A4U1 A3 13 Leakage Current in Usage Scenes (1) Video telephony BW2 BW3 BC AC A2 BA2 C4 BA4 C5 A4 A1A Baseband part Control W-CDMA GSM / OFF BW1 BG1 BA3 BG2BG3 A1R A4U2 A4U1 A3 part System-domain Realtime-domain Power on Measured Leakage Current (@ Room Temp, 1.2V) 849 μa 14 7

8 Leakage Current in Usage Scenes (2)Telephony (W-CDMA) BW2 BW3 BC AC A2 BA2 C4 BA4 C5 A4 A1A Baseband part Control W-CDMA GSM / OFF BW1 BG1 BA3 BG2BG3 A1R A4U2 A4U1 A3 part System-domain Realtime-domain OFF Power on Measured Leakage Current (@ Room Temp, 1.2V) 407 μa 15 Leakage Current in Usage Scenes (3)Waiting for Calling BW2 BW3 BC AC A2 BA2 C4 BA4 C5 A4 A1A Baseband part Control W-CDMA GSM OFF * OFF BW1 BG1 BA3 BG2BG3 A1R A4U2 A4U1 A3 part System-domain Realtime-domain OFF OFF Power on Measured Leakage Current (@ Room Temp, 1.2V) *: Intermittent Operation 299 μa 16 8

9 SH-X3: SuperH SH-4A Quad-Core ref: T. Kamei, SH-X3 An Enhanced SuperH Core for Low power MP system. Fall Microprocessor Forum, Oct 2006 Y. Yoshida, et. al., A 4320MIPS Four-Processor Core SMP/AMP with Individually Managed Clock Frequency for Low Power Consumption., ISSCC Tech. Dig. pp , Feb Design Concept of SH-X3 Efficient for both SMP and AMP Cache coherency for SMP Local Memories of each block for AMP Data transfer function for local memories Hybrid model support Flexible interrupt architecture Interrupt distribution Inter Processor Interrupt (IPI) Power management Minimizing the power of each for workload variation Easy implementation in standard SoC design flow Fully synthesizable 18 9

10 Block Diagram Clock Controller Interrupt Controller Debug block 0 FPU/ MMU I$ D$ IL DL U block 1 FPU/ MMU I$ D$ U SH-X3 IL DL Snoop Controller block 2 FPU/ MMU I$ D$ IL DL U block 3 FPU/ MMU I$ D$ IL DL U SuperHyway (main on-chip interconnect) 19 SuperHyway = SuperHyway Bus on-chip interconnect Memory subsystem architecture Combination of coherent cache and local memories to support variety of programming models Coherent cache Implicit allocation (programmer doesn t have to care) Mandatory for SMP operating systems Required for multi-threaded programs (e.g. with pthread library) Address-mapped local memories Explicit allocation (deterministic behavior) Add further concurrency of computation and data transfer to ordinal DMA transfer Efficient block transfer (larger than cache line size) Two-level local memories Small but no-wait memory (I/D separate: up to 128KB) Large but a few wait memory (unified: up to 1MB) 20 10

11 Data Transfer Unit () Concurrent data transfer with computation Programmability by transfer commands on local memories MMU support: micro-tlb Max. throughput: 800 MB/s 300MHz SuperHyway 0 UTLB 1 Local Mem. commands src. μtlb Put SuperHyway Automatic Parallelizing Compiler (OSCAR compiler by Waseda Univ.) Parallelization of sequential programs Extraction of data transfer and generation of commands Almost same performance as hand parallelized (MPEG2 enc.) C source main () {... } compile SH inst. 0 inst. add add R0, R0, R1 R1 mult mult R1, R1, R2 R Local Mem. commands + dst. UTLB μtlb 0 cmd. cmd. chk chk 0xFF11.. 0xFF11.. get get 0x x set set 0xFF12 0xFF12 21 Interrupt architecture Two distribution modes Static mode bound to specified (configurable binding) Dynamic mode (used in some SMP OSs) broadcasted to all s serviced by ACK'ed first Inter Processor Interrupt To communicate with other s from peripheral modules Interrupt Controller Interrupt requests from external pins 22 11

12 Power Management Fine power management for workload variation Independent clock slowing down for each Four low power states state Light Sleep Sleep Resume-Standby Ultra-Standby Clock stop Clock stop cache Active Clock stop local mem. Active Active Clock stop 0 Local Mem. Sleep 1 Local Mem. Get 2 Local Mem. Put 3 Local Mem. Sleep 0: job done 1: on-going 2: on-going 3: waiting for data SuperHyway 23 RP1: Chip Overview Core #0 Core #2 SNC Core #1 Core #3 Die size Process Supply voltage Frequency I/D Cache IL DL User Performance FPU Performance Power 9.88mm x 9.88mm 90nm G 8-layer CMOS dual-vth 1.0V(internal), 1.8/3.3V(I/O) 600MHz 32KB 4way(each ) 8KB (each ) 16KB (each ) 128KB (each ) 4320MIPS (Dhrystone2.1) 16.8 GFLOPS 0.6mW/MHz/Core 24 12

13 EXREAL Platform TM EXcellent Reliability Efficiency Agility Link Platform 25 EXREAL Platform TM Software Interconnect Promote reuse of software assets through Wrapper and standardized layer API Control operating frequency and power on/off through the performance scheduler Mobile Phone Wrapper Base band middleware Wrapper Function distributing multi-os Real-time OS Wrapper 2D Graphic middleware Wrapper 3D Graphic middleware Wrapper Wrapper Load distributing multi-os Versatile OS Versatile OS Inter-task / Inter-OS communication Multicore Standard Logical Standard multicore Performance driver Multi-core multicore library Physical library library scheduler driver library HW-IP - Middleware Middleware-OS OS-Driver Hide number with the distributed object framework Layer API Layer API Layer API 26 13

14 Software Does Not Need to Worry about Hardware Flexible replacement of hardware IP and software IP IP changes in the lower layer do not affect the upper layer Possible to select different implementation that possess the identical API Software Customer's area of application development Level API library, OS Low end Popular Mid-level High end Same interface Middleware Level API Middleware library Device Level API Hardware engine Media processor or or Multi-core Algorithm library Accelerator Hardware 27 EXREAL Platform TM : Hardware Interconnect Implement the optimum method for the target processing Software processing = Heterogeneous multi-core Versatile SMP Reconfigurable SIMD D Versatile On-chip bus Moving image Still image Processing processing Voice processing accelerator accelerator accelerator External memory I/F Communication IP Cipher IP Acceleration that is fit for specific algorithm processing Realize processing through hardware 28 14

15 Summary There is many approaches for embedded MPSoC. Heterogeneous AMP : Integration of subsystems Homogeneous AMP : Hetero-OS / Homo-OS Homogeneous SMP : SMP OS Automatic parallelizing compiler System design which implement optimal parallelism with reasonable software design/debug cost is key. Renesas is ready to implement MPSoC for the application specific requirements by various approaches with SH-MobileG1 methodology and SH-X3. Renesas is proposing EXREAL Platform TM for embedded system design with MPSoC

SH-MobileG1: A Single-Chip Application and Dual-mode Baseband Processor

SH-MobileG1: A Single-Chip Application and Dual-mode Baseband Processor SH-MobileG1: A Single-Chip Application and Dual-mode Baseband Processor Masayuki Ito 1, Takahiro Irita 1, Eiji Yamamoto 1, Kunihiko Nishiyama 1, Takao Koike 1, Yoshihiko Tsuchihashi 1, Hiroyuki Asano 1,

More information

SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems

SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems SH-X3 Flexible SuperH Multi-core for High-performance and Low-power Embedded Systems Shinichi Shibahara 1, Masashi Takada 2, Tatsuya Kamei 1, Kiyoshi Hayase 1, Yutaka Yoshida 1, Osamu Nishii 1, Toshihiro

More information

A 65nm Dual-mode Baseband and Multimedia Application Processor SoC with Advanced Power and Memory Management

A 65nm Dual-mode Baseband and Multimedia Application Processor SoC with Advanced Power and Memory Management A 65nm Dual-mode Baseband and Multimedia Application Processor SoC with Advanced Power and Memory Management Tatsuya Kamei, Tetsuhiro Yamada, Takao Koike, Masayuki Ito, Takahiro Irita, Kenichi Nitta, Toshihiro

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

Achieves excellent performance of 1,920 MIPS and a single-chip solution for nextgeneration car information systems

Achieves excellent performance of 1,920 MIPS and a single-chip solution for nextgeneration car information systems Renesas Technology to Release SH7776 (SH-Navi3), Industry s First Dual-Core SoC with Built-in Image Recognition Processing Function for Car Information Terminals Achieves excellent performance of 1,920

More information

Design of NaviEngine - a SoC with MPCore - Oct. 2 nd, 2007 NEC Electronics Corp. Automotive System Div.

Design of NaviEngine - a SoC with MPCore - Oct. 2 nd, 2007 NEC Electronics Corp. Automotive System Div. Design of NaviEngine - a SoC with MPCore - Oct. 2 nd, 2007 NEC Electronics Corp. Automotive System Div. masa.yoshida@necel.com 1 Agenda Background Automotive Multimedia Markets Multicore Technology Concept

More information

ID 730L: Getting Started with Multimedia Programming on Linux on SH7724

ID 730L: Getting Started with Multimedia Programming on Linux on SH7724 ID 730L: Getting Started with Multimedia Programming on Linux on SH7724 Global Edge Ian Carvalho Architect 14 October 2010 Version 1.0 Mr. Ian Carvalho System Architect, Global Edge Software Ltd. Responsible

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

SH-Mobile LSIs for Cell Phones

SH-Mobile LSIs for Cell Phones Hitachi Review 1 SH-Mobile LSIs for Cell Phones Toshinobu Kanai Hiroshi Yagi Junichi Nishimoto Ikuya Kawasaki OVERVIEW: With the increasing number of functions performed by cell phones, processors are

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Low-Power Processor Solutions for Always-on Devices

Low-Power Processor Solutions for Always-on Devices Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, 2014 2014 Synopsys, Inc. All rights reserved. 1 Always-on Mobile Devices Mobile devices on the move Mobile

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

SoC Communication Complexity Problem

SoC Communication Complexity Problem When is the use of a Most Effective and Why MPSoC, June 2007 K. Charles Janac, Chairman, President and CEO SoC Communication Complexity Problem Arbitration problem in an SoC with 30 initiators: Hierarchical

More information

Venezia: a Scalable Multicore Subsystem for Multimedia Applications

Venezia: a Scalable Multicore Subsystem for Multimedia Applications Venezia: a Scalable Multicore Subsystem for Multimedia Applications Takashi Miyamori Toshiba Corporation Outline Background Venezia Hardware Architecture Venezia Software Architecture Evaluation Chip and

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine

SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine Session 5D : Designer s Forum : State-of-the-art SoCs 5D-4 SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine Jan. 20. 2010 Hiroyuki Hamasaki*, Yasuhiko Hoshi*, Atsushi Nakamura *,

More information

1. Microprocessor Architectures. 1.1 Intel 1.2 Motorola

1. Microprocessor Architectures. 1.1 Intel 1.2 Motorola 1. Microprocessor Architectures 1.1 Intel 1.2 Motorola 1.1 Intel The Early Intel Microprocessors The first microprocessor to appear in the market was the Intel 4004, a 4-bit data bus device. This device

More information

Offering compact implementation of sophisticated, high-performance telematics products and industrial equipment, and short development times

Offering compact implementation of sophisticated, high-performance telematics products and industrial equipment, and short development times Hitachi Releases SH7760 SuperH Microprocessor for In-Vehicle Information Products Supporting Telematics, Integrating SH-4 CPU Core and Variety of Interfaces in a Single Chip Offering compact implementation

More information

Runtime Power Management on SuperH Mobile

Runtime Power Management on SuperH Mobile Runtime Power Management on SuperH Mobile Upstream Implementation and Status Magnus Damm damm@igel.co.jp Renesas Technology April 2009 Magnus Damm (damm@igel.co.jp) Runtime Power Management on SuperH Mobile

More information

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don

2D/3D Graphics Accelerator for Mobile Multimedia Applications. Ramchan Woo, Sohn, Seong-Jun Song, Young-Don RAMP-IV: A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae,, and Hoi-Jun Yoo oratory Dept. of EECS,

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Cool Chips, Low Power Multicores, Open the Way to the Future

Cool Chips, Low Power Multicores, Open the Way to the Future Cool Chips, Low Power Multicores, Open the Way to the Future Hironori Kasahara President Elect 2017, President 2018 IEEE Computer Society IEEE Fellow Professor, Dept. of Computer Science & Engineering

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective LS6410 Hardware Design Perspective 1. S3C6410 Introduction The S3C6410X is a 16/32-bit RISC microprocessor, which is designed to provide a cost-effective, lowpower capabilities, high performance Application

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC) Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 10 System on Chip (SOC) In the last class, we had discussed digital signal processors.

More information

SPEAr: an HW/SW reconfigurable multi processor architecture

SPEAr: an HW/SW reconfigurable multi processor architecture Welcome to the «SPEAr Age» Structured Processor Enhanced Architecture SPEAr: an HW/SW reconfigurable multi processor architecture COMPUTER PERIPHERAL GROUP Outline Economics of Moore s law and market view

More information

Tile Processor (TILEPro64)

Tile Processor (TILEPro64) Tile Processor Case Study of Contemporary Multicore Fall 2010 Agarwal 6.173 1 Tile Processor (TILEPro64) Performance # of cores On-chip cache (MB) Cache coherency Operations (16/32-bit BOPS) On chip bandwidth

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Markets Demanding More Performance

Markets Demanding More Performance The Tile Processor Architecture: Embedded Multicore for Networking and Digital Multimedia Tilera Corporation August 20 th 2007 Hotchips 2007 Markets Demanding More Performance Networking market - Demand

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

doi: /MM

doi: /MM doi: 10.1109/MM.2011.93 : A MULTICORE COMMUNICATION SOC WITH Sugako Otani 1, Hiroyuki Kondo 1, Itaru Nonomura 1 Toshihiro Hanawa 2, Shin'ichi Miura 2, Taisuke Boku 2 1 Renesas Electronics Corporation 2

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Mapping applications into MPSoC

Mapping applications into MPSoC Mapping applications into MPSoC concurrency & communication Jos van Eijndhoven jos@vectorfabrics.com March 12, 2011 MPSoC mapping: exploiting concurrency 2 March 12, 2012 Computation on general purpose

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

Overview. 3//5/ Preliminary Product Brief

Overview. 3//5/ Preliminary Product Brief Overview aj--200 Mullttiimediia Evalluattiion Kiitt aj--200mek The ajile aj-200mek is a compact and versatile multimedia evaluation kit for the aj-200 SOC that directly executes both Java Virtual Bytecode

More information

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 18 Multicore Computers

William Stallings Computer Organization and Architecture 8 th Edition. Chapter 18 Multicore Computers William Stallings Computer Organization and Architecture 8 th Edition Chapter 18 Multicore Computers Hardware Performance Issues Microprocessors have seen an exponential increase in performance Improved

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Towards scalable and secure execution platform for embedded systems

Towards scalable and secure execution platform for embedded systems Towards scalable and secure execution platform for embedded systems Junji Sakai INOUE, Hiroaki Masato Edahiro System Devices Research Laboratories, NEC Corporation 1120, Shimokuzawa, Sagamihara, Kanagawa

More information

OCB-Based SoC Integration

OCB-Based SoC Integration The Present and The Future 黃俊達助理教授 Juinn-Dar Huang, Assistant Professor March 11, 2005 jdhuang@mail.nctu.edu.tw Department of Electronics Engineering National Chiao Tung University 1 Outlines Present Why

More information

Waseda Univ. Green Computing Systems R&D Center

Waseda Univ. Green Computing Systems R&D Center Automatic Parallelization of MATLAB/Simulink on Multicore Processors -- Parallel processing of automobile engine control C code generated by embedded coder -- Hironori Kasahara Professor, Dept. of Computer

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses. April 2008

The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses. April 2008 The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses April 2008 Agenda Today s Mobile Internet Market Mobile Convergence Products Internet Browsing on a Handheld Separating Fact

More information

Linux Device Drivers: Case Study of a Storage Controller. Manolis Marazakis FORTH-ICS (CARV)

Linux Device Drivers: Case Study of a Storage Controller. Manolis Marazakis FORTH-ICS (CARV) Linux Device Drivers: Case Study of a Storage Controller Manolis Marazakis FORTH-ICS (CARV) IOP348-based I/O Controller Programmable I/O Controller Continuous Data Protection: Versioning (snapshots), Migration,

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

Platform for System LSI Development

Platform for System LSI Development Platform for System LSI Development Hitachi Review Vol. 50 (2001), No. 2 45 SOCplanner : Reducing Time and Cost in Developing Systems Tsuyoshi Shimizu Yoshio Okamura Yoshimune Hagiwara Akihisa Uchida OVERVIEW:

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design Ahmed Amine JERRAYA EPFL November 2005 TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

RZ Embedded Microprocessors

RZ Embedded Microprocessors Next Generation HMI Solutions RZ Embedded Microprocessors www.renesas.eu 2013.11 The RZ Family Embedded Microprocessors The RZ is a new family of embedded microprocessors that retains the ease-of-use of

More information

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II The prebid meeting for the packages to be purchased under national competitive bidding for TEQIP Phase II was held on 15/10/2013

More information

Development of Low Power ISDB-T One-Segment Decoder by Mobile Multi-Media Engine SoC (S1G)

Development of Low Power ISDB-T One-Segment Decoder by Mobile Multi-Media Engine SoC (S1G) Development of Low Power ISDB-T One-Segment r by Mobile Multi-Media Engine SoC (S1G) K. Mori, M. Suzuki *, Y. Ohara, S. Matsuo and A. Asano * Toshiba Corporation Semiconductor Company, 580-1 Horikawa-Cho,

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

Embest SOC8200 Single Board Computer

Embest SOC8200 Single Board Computer Embest SOC8200 Single Board Computer TI's AM3517 ARM Cortex A8 Microprocessors 600MHz ARM Cortex-A8 Core NEON SIMD Coprocessor POWERVR SGX Graphics Accelerator (AM3517 only) 16KB I-Cache, 16KB D-Cache,

More information

ISSCC 2003 / SESSION 14 / MICROPROCESSORS / PAPER 14.5

ISSCC 2003 / SESSION 14 / MICROPROCESSORS / PAPER 14.5 ISSCC 2003 / SESSION 14 / MICROPROCESSORS / PAPER 14.5 14.5 A 600MHz Single-Chip Multiprocessor with 4.8GB/s Internal Shared Pipelined Bus and 512kB Internal Memory Satoshi Kaneko, Katsunori Sawai, Norio

More information

New STM32WB Series MCU with Built-in BLE 5 and IEEE

New STM32WB Series MCU with Built-in BLE 5 and IEEE New STM32WB Series MCU with Built-in BLE 5 and IEEE 802.15.4 Make the Choice of STM32WB Series The 7 keys points to make the difference 2 Open 2.4 GHz radio Multi-protocol Dual-core / Full control Ultra-low-power

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information

RISECREEK: From RISC-V Spec to 22FFL Silicon

RISECREEK: From RISC-V Spec to 22FFL Silicon RISECREEK: From RISC-V Spec to 22FFL Silicon Vinod Ganesan, Gopinathan Muthuswamy Group CSE Dept RISE Lab IIT Madras Amudhan, Bharath, Alagu - HCL Tech Konala Varma, Ang Boon Chong, Harish Villuri - Intel

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 Rugged 6U VME Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

XT Node Architecture

XT Node Architecture XT Node Architecture Let s Review: Dual Core v. Quad Core Core Dual Core 2.6Ghz clock frequency SSE SIMD FPU (2flops/cycle = 5.2GF peak) Cache Hierarchy L1 Dcache/Icache: 64k/core L2 D/I cache: 1M/core

More information

Dongjun Shin Samsung Electronics

Dongjun Shin Samsung Electronics 2014.10.31. Dongjun Shin Samsung Electronics Contents 2 Background Understanding CPU behavior Experiments Improvement idea Revisiting Linux I/O stack Conclusion Background Definition 3 CPU bound A computer

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information