Getting Started with Cadence Draft #3. Dan Kelly The School of Electrical and Electronic Engineering The University of Adelaide December 2, 2005

Size: px
Start display at page:

Download "Getting Started with Cadence Draft #3. Dan Kelly The School of Electrical and Electronic Engineering The University of Adelaide December 2, 2005"

Transcription

1 Getting Started with Cadence Draft #3 Dan Kelly The School of Electrical and Electronic Engineering The University of Adelaide December 2,

2 1 Introduction This guide is intended to help people start using Cadence. It is not intended to be a comprehensive guide to use the tools themselves, but rather an informative outline on which tools are available and for what purpose. This guide is meant to make the introduction for the newcomer easier, and is specific to the University of Adelaide Department of Electrical and Electronic Engineering. Please freely distribute this document, and suggest changes, updates or improvements. The latest version of this document can be found on my EEE website 1. People are welcome to suggest or make changes. You can make corrections/improvements, etc. directly to the source (also available on the website), or by writing another document (.doc,.tex,.txt). I will update the changes as quickly as I can. I would like someone to write a short section on the JAS/JAZZ (spelling? library, including how to get started, available documentation, anything that would be handy to know, etc

3 Contents 1 Introduction 2 2 Useful commands and setup Starting up Licences Opening the Cadence documentation University software and licences Tutorials Synthesis in BuildGates Other tools Libraries Artisan 0.18µ technology JAS/JAZZ library Appendices Appendix A - University licenced tools

4 2 Useful commands and setup 2.1 Starting up First ssh into contact. You will get some weird messages otherwise. In a terminal window, type > ssh contact Source the cadence files > source /home/vlsi6/cadence/cadence_setup NB: A handy tip. To find the installation directory, after sourcing the Cadence files, type > instdir (It should be /home/vlsi6/cadence/ic/tools/dfii or /home/vlsi6/cadence/ic5141base.sun4v/to I always find it handy to type > setenv CADENCE_INSTALL /home/vlsi6/cadence/ic/tools/dfii 2.2 Licences You can check the state of the licence server or the number of available licences if you run into trouble opening a program. > lmstat To view the number of available licences for each program, > lmstat -a 2.3 Opening the Cadence documentation There are a number of tutorials you can do to familiarise yourself with Cadence. An introductory guide is available at crete.cadence.com. The tutorials have been copied and zipped. They can be accessed form the public network drive Edison\Users\Hooman\cadence. This is probably your P:\ if you are a Windows XP user. Specific tutorials are outlined later. There is specific documentation located in /home/vlsi6/cadence/ic/doc/ If you need to add netscape to the path so that you may view.html files opened by the the help viewer (CDSDoc), use > setenv PATH usr/local/bin:$path 4

5 CDSDoc is the help document browser for Cadence. It opens.html files located in the install directories for each tool. I have not been very successful using CDSDoc over a remote X session. The view is often not refreshed, and documents often fail to launch. I reccommend locating the documentation path via CDSDoc, then opening the files in netscape. To start CDSDoc: > cdsdoc & By default, CDSDoc wants to only open all windows in an open browser window. Change this. Open Edit Preferences. Click the New browser window radio buttons in the General and Search tabs. Click OK. Exit and restart CDSDoc. 5

6 Table 1: A list of Cadence tools for common tasks Task Cadence Tool Command Reference schematic composer Virtuoso layoutplus VHDL analysis ncvhdl/nclaunch ncvhdl ncvhdltut.pdf generate simulation snapshot ncelab ncvhdltut.pdf snapshot simulation ncsim ncvhdltut.pdf expand this University software and licences A list of all the tools the University has licences for is listed in table 1. Similarly, a list of all the tools that the University has a licence for is shown in table 2. To view this list yourself within cadence, from the Command Interpreter Window (CIW), simply look in Options License... If you need a CIW from the prompt, type > layoutplus & 6

7 Program Virtuoso Dracula Diva Assorted Table 2: Available licences Component Virtuoso-XL Layout Editor Compactor Layout Editor Turbo Schematic Editor Layout Synthesiser Layout Migrate EDIF 200 Reader EDIF 200 Writer Graphical User Interface Design Rule Checker (DRC) Layout Versus Schematic (LVS) Verifier Parasitic Extractor Switched Capacitor Layout Generators Cell Optimisation Option for Layout Synthesis Modulemaker Structure Compiler 3 Tutorials Much of the documentation found with Cadence is in the form of tutorials rather than manuals. Furthermore, some of the better ones are not even authored by Cadence! The command line help that I have used in programs so far is not descriptive. 3.1 Synthesis in BuildGates There is a tutorial for BuildGates from the University of Cincinnati provided with Cadence. It is based on a tutorial provided by Cadence. This is just a simple tute to get your feet wet. You may conduct the tutorial, being carefull to replace all their local references. Instances of /opt/cad/cadence/spr-4.0/buildgates/v4.0-s008 should read /home/vlsi6/cadence/spr50.sun4v/buildgates/v05.00-p008 for the installation within the UofA EEE department. Also note that in step 9(a) of the tutorial, Cammands Timing should read Report Timing. The University has a licence for BuildGates Extreme, which is the same as BuildGates, with the addition of datapath synthesis and low power synthesis. It does not have the placement, clock tree and global routing tools found in PKS. Instead of starting BuildGates Extreme with the ac shell command, start it with: > bgx_shell -gui & It can take quite some time to load... 7

8 8 Table 3: Help documents Topic File Location Simulation NCLaunch nclaunch.pdf /home/vlsi6/cadence/ius/doc/nclaunch/ NC-Vhdl, NC-Elab ncvhdl.pdf /home/vlsi6/cadence/ius/doc/ncvhdl/ LDV, NC-Launch ncvhdltut.vhdl /home/vlsi6/cadence/ius/doc/ncvhdl/ NC-Verilog ncvlog.pdf /home/vlsi6/cadence/ius/doc/ncvlog/ SimVision simvision.pdf /home/vlsi6/cadence/ius/doc/simvision/ Simvision Command Language simviscmdref.pdf /home/vlsi6/cadence/ius54/doc/simviscmdref/ Synthesis, PKS User Guide espks.pdf /home/vlsi6/cadence/spr/doc/espks/ Synthesis Place-&-Route Flow Guide SPRflow.pdf /home/vlsi6/cadence/spr/doc/sprflow/ Command Reference for Cadence PKS syncomref.pdf /home/vlsi6/cadence/spr50/doc/syncomref/ Place and Route SimVision User Guide simvision.pdf /home/vlsi6/cadence/ius/doc/simvision/ SimVision Command Language Reference simviscmdref.pdf /home/vlsi6/cadence/ius/doc/simviscmdref/ Silicon Ensemble Place & Route Reference silref.pdf /home/vlsi6/cadence/dsmse/doc/silref/ Other Tcl documentation

9 There is also a more complex version of the tutorial, which covers a bit more of the same thing. I have converted the original tutorial supplied by Cadence from postscript to PDF. It can be found in some/location It uses the same source files as the other tutorial form the University of Cincinatti. The Cadence tutorial is nt so good. MAn y of the names don t correspond, it appears to be written for an earlier version. You can get PDF versions of the Cadence tutorials for BuildGates here: some$\backslash$location 3.2 Other tools Expand me... 9

10 4 Libraries This section describes the available libraries for use locally with Cadence. 4.1 Artisan 0.18µ technology There is a digital VLSI library for use with MOSIS. To gain access you will need to contact Dr. Said Al-Sarawi or Kiet To. The library is located in the /home/vlsi5/cad/synopsys/artisan directory. There are different versions available. The useful files for the latest version is located in the.../artisan/tsmc18 sc 2004q3v1/aci/sc directory. There is a tutorial called the Synthesis, Place & Route Flow Guide for use with Cadence. Copy the file or use: > cd /home/vlsi5/cad/synopsys/artisan/tsmc18_sc_2004q3v1/aci/sc/alf > acroread SPRflow.pdf &. 4.2 JAS/JAZZ library To get access to this library you will need to contact Dr. Derek Abbott. Can someone expand this section, please? 10

11 5 Appendices 5.1 Appendix A - University licenced tools 1. VirtuosoCustom Design Platform The Virtuoso custom design platform is a comprehensive system for fast, silicon-accurate design and is optimized to support meet-in-the-middle design methodologies such as ACD. The Virtuoso platform includes the industrys only specification-driven environment; multi-mode simulation with common syntax, models, and equations; vastly accelerated layout; advanced silicon analysis for 0.13 microns and below; and a full-chip, mixed-signal integration environment. The Virtuoso platform is available on the Cadence CDBA database and the industry-standard OpenAccess database. With this platform, design teams can quickly design silicon that is right and on time at process geometries from 1 micron to 90 nanometers and beyond. (a) Virtuoso r Schematic Editor Virtuoso Schematic Editor, which is the design composition environment for the Virtuoso custom design platform, delivers an extensive set of tools for custom IC design entry. From architectural definition using industry-standard language representations, such as Verilog, VHDL, and C, to final structural implementations at the transistor level, Virtuoso Schematic Editor helps you implement each stage in your design. Virtuoso Schematic Editor accepts input in the formats EDIF netlist, Circuit design language (CDL), SPICE, VHDL IEEE , Verilog IEE1364 and OpenAccess data objects. The design output can be in any of the formats, EDIF netlist, CDL, SPICE, Cadence CDBA database and OpenAccess data objects. Benefits i. Easy visualization of large, complex designs using the hierarchy editor. ii. Early detection of design problems with built-in design and languagerule checking. iii. Rapid command execution with user-configurable bindkeys and menus. iv. 3x design entry speed via automatic wire routing. v. 2x speed in schematic visualization, access, and control. Features i. Fast and accurate design entry. ii. Design with industry-standard languages. iii. Extensive design checking capabilities. iv. Tight integration into the Virtuoso custom design platform. 11

12 (b) Virtuoso r Analog Design Environment Virtuoso Analog Design Environment is the analog design and simulation environment for the Virtuoso custom design platform. It is the industry s standard task-based environment for simulating and analyzing full-custom, analog, and RF IC designs. Virtuoso Analog Design Environment features a graphic user interface, integrated waveform display and analysis, distributed processing, and interfaces to popular third-party simulators. Virtuoso Analog Design Environment accepts input in the formats OpenAccess data objects, Circuit design language (CDL), Cadence CDBA database and SPICE. The design output can be in any of the formats, SPICE, PSF waveform, SST2 waveform and Cadence SKILL. Benefits i. Reduced learning curve with a simulator-independent environment. ii. Maximum efficiency in the script-driven mode. iii. Accelerated debug process using a variety of built-in analog analysis tools. iv. Facilitated design correction via easy comparison of pre- and post-parasitic extracted designs. v. Quick detection of circuit problems via a clear visualization cockpit. Features i. Easy-to-use interactive simulation environment. For example, it has the unique capability of interfacing with other commerciallyavailable and in-house simulators through the OASIS integrators kit. ii. Built-in waveform display and signal analysis capabilities. iii. Integral part of the Virtuoso custom design platform. (c) Virtuoso r -XL Layout Editor Virtuoso XL Layout Editor is the high-end custom block authoring physical layout tool of the Virtuoso custom design platform. It supports the physical implementation of custom digital, mixed-signal, and analog designs at the device, cell, and block levels. Virtuoso XL Layout Editor accepts inputs in the formats Cadence CDBA database, SKILL, STREAM, OpenAccess database, Virtuoso Schematic Editor, CDL, SPICE and Virtuoso Chip Assembly Router database. The design outputs can be in any of the formats Cadence CDBA database, SKILL, STREAM, OpenAccess database and Virtuoso Chip Assembly Router database. Benefits i. Accelerated block authoring through connectivity-driven features and flow (schematic or netlist) promotes a correct-by-construction LVS-correct layout to reduce verification iterations. 12

13 ii. Increased productivity and design quality with constraint- and design rule-driven features automatically ensure real-time design and process correctness. iii. Simplified, optimized device generation with the new menu-driven QuickCell feature of the standard SKILL programmable parameterized cells. iv. Efficiently planned, placed, and routed large block designs with custom floorplanning, automatic placement, and accelerated interactive routing features. Features i. Connectivity-driven functions and flow. ii. Constraint- and design rule-driven functions. iii. Accelerated layout automation. (d) Virtuoso r Spectre r Circuit Simulator Virtuoso Spectre Circuit Simulator provides fast, accurate simulations for tough analog and mixed-signal circuits. Tightly integrated with the Virtuoso custom design platform, Virtuoso Spectre technology provides detailed transistor-level analysis in multiple domains. Virtuoso Spectre Circuit Simulator inputs/output can be in any of the formats Virtuoso Spectre, HSPICE, SPICE 2/3, S-parameter data files and PSF waveform. Benefits i. High-performance, high-capacity SPICE-level simulations (3x SPICE). ii. Higher design quality using silicon-accurate device models shared within Virtuoso Multi-mode Simulation. iii. Better design accuracy using silicon-accurate models from the industry-leading Virtuoso advanced modelling tools. iv. Minimal translation to move between design domains with all simulators sharing the same syntax. Features i. Advanced circuit simulation techniques. ii. Built-in Verilog-A 2.0 behavioural modelling. iii. Advanced device modelling and support. (e) Virtuoso r Spectre r RF Simulation Option Virtuoso Spectre RF Simulation Option for Virtuoso Spectre Circuit Simulator provides fast, accurate simulations for RF and highfrequency ICs. Virtuoso Spectre RF works with Virtuoso Analog Design Environment to provide detailed, high-capacity analyses of RF and high-frequency designs. Virtuoso Spectre RF Simulation Option inputs/output can be in any of the formats Virtuoso Spectre, HSPICE, SPICE 2/3, S-parameter data files and PSF waveform. Benefits 13

14 i. High-performance simulation of thousands of RF transistors. ii. Maximum design productivity with advanced algorithms and RF-oriented analyses that converges quickly on highly non-linear circuits. iii. Minimal design translation due to shared syntax across all Virtuoso multi-mode simulators. iv. Consistent silicon accuracy maintained within Virtuoso Multimode Simulation with shared device models among all the simulators. Features i. Advanced simulation techniques. ii. Physical component modelling. iii. RF package modelling. (f) Virtuoso r Spectre r RF Simulation Option Virtuoso AMS Designer Simulator is a mixed-signal simulation solution for the design and verification of the largest and most complex mixed-signal SoCs. It is integrated in and fully compatible with both the Virtuoso custom design platform and the Incisive functional verification platform. Virtuoso AMS Designer Simulator inputs are in the formats Cadence CDBA database (or OpenAccess database), Verilog-AMS 2.0, VHDL-AMS , Verilog (IEEE , majority of IEEE extensions), VHDL (IEEE , IEEE , IEEE (VITAL 2000)), Spectre, Spice2G6 and HSPICE. The outputs can be in any of the formats SST2 waveform (analog and digital), PSF waveform (analog) and Verilog-AMS netlist. Benefits i. Assured design quality using proven Virtuoso and Incisive simulation technology. ii. Easy adoption with supports for both top-down and bottom-up design styles. iii. Fast detection of design failures early in the design phase to make sure the design is ready for tapeout right on time. iv. Accelerated simulation with mixed-signal behavioral language support. Features i. Facilitates the meet-in-the-middle design methodology. ii. Incorporates proven Virtuoso and incisive simulation technology. (g) Diva r Physical Verification and Extraction Suit Diva Physical Verification is part of the design verification suite of tools within the Virtuoso custom design platform. It provides realtime physical verification of cells, blocks, and small IC designs. With 14

15 strong interactivity for identifying and correcting layout errors, Diva physical verification is ideal for hand-crafting custom designs. Diva Physical Verification design inputs are in the formats Cadence CDBA database (DFII) and Diva rules. The design outputs can be in any of the formats Cadence CDBA database (DFII) (layout and extracted), Error markers and Textual reports for debugging and archival purposes. Benefits i. Simplifies the design process with a common database for data transfer with the Virtuoso custom design platform. ii. Provides a robust interactive physical verification flow for custom designs. iii. Accelerates design-to-volume with a production-proven interactive physical verification tool suite. iv. Reduces re-spins by eliminating design layout errors before tapeout. Features i. Interactive verification. ii. Diva design rule checker (DRC). iii. Diva layout vs. schematic (LVS) verifier. iv. Diva parasitic extractor (RCX), which allows design teams to provide the layers, coefficients, bend factors, and other necessary criteria in equations that will perform parasitic resistance and capacitance calculations. An extracted resistance network containing associated distributed capacitance is formed. During the network reduction phase, the values of capacitance are consolidated and associated with each final resistor. This RC pair or group may be represented in a pi or T formation. All parasitic devices are graphically displayed including the values measured for each resistor and capacitor. This RC network is combined with the circuit netlist to form a final netlist containing designed and parasitic devices. The final netlist can then be simulated with SPICE, Virtuoso Spectre Circuit Simulator, or other similar simulators to determine the exact electrical performance of the layout. Diva RCX includes a threebody/twodimensional (charge-sharing) capacitance extraction capability, providing higher extraction accuracy. 2. Dracula r Verification Dracula Verification products are an established IC industry standard. You can trust Dracula to provide comprehensive and accurate sign-off verification results for all designs. This technology provides you with a complete set of verification tools suitable for small cells up to very large ICs. Dracula verification tools can be used no matter what your design 15

16 methodology is bottom-up, custom, standard-cell, structured gate array, or block-oriented. Dracula has produced industry-wide trusted results for over a decade. (a) Dracula r Graphical User Interface Dracula Graphical User Interface (GUI), an interactive error debugging and analysis tool, assists in the identification, analysis, and correction of layout errors by displaying the original design layers merged with the systems verification error data in a single window. Debugging and analyzing errors can account for up to 80% of the verification cycle time, but this debugging environment speeds the error correction process by providing online access to verification error information, along with node and device connectivity data. Benefits and Features i. Automatic step through feature graphically identifies and analyzes DRC and LVS errors from within the Virtuoso environment. ii. Error analysis and correction takes place in the original Cadence Design Framework II database when used with Virtuoso Schematic Composer and Virtuoso Layout Editor. iii. Interactive traversal of circuit netlist allows the display of multiple views of network hierarchy. iv. Parasitic viewing enables detailed graphical analysis of RC elements in the layout and specific to critical nets. v. Graphical cross-probing between netlist, schematic, and layout windows reduces time spent debugging LVS discrepancies. vi. Interactive short locator rapidly identifies and isolates short circuits in the layout. vii. Visual access to connectivity, device, and nodal information helps debug layout errors quickly. (b) Dracula r Physical Verification and Extraction Suit In addition to basic verification functionality, Dracula provides you with a complete set of verification tools suitable for all designs, from small cells to very large ICs. Dracula Design Rule Checker (DRC) is an integral component of this physical verification system. It performs checks on layout geometries, gives confidence that the layout can be manufactured, and offers high yields for a given IC process technology. Dracula Electrical Rule Checker (ERC) checks your layout for electrical rule violations such as open circuits, short circuits, and floating nodes. This tool also detects invalid devices and improper implant types, substrate bias, power connections, and ground connections. It eliminates the time consuming process of finding shorts between global signals by isolating the location at which a short occurs. This checker catches gross electrical mistakes on the layout and gives the 16

17 designer the confidence that the chip will work the first time. Parasitic elements have a significant impact on performance in todays high speed IC designs. With the smaller geometries of leading-edge processes, delays caused by interconnect are becoming increasingly dominant over gate propagation delays. Dracula Layout versus Schematic (LVS) is used to identify device or network discrepancies between the layout and schematic. Use of this verifier during the IC design process guarantees that the circuit in the mask layout matches the schematic description. It can also be used to identify discrepancies between two similar representations layout vs. layout (LVL) or schematic vs. schematic (SVS). This tool isolates discrepancies between the two representations of the design and clearly reports the differences for analysis. Using this verifier has resulted in tens of thousands of working semiconductors on the first iteration with considerable savings in processing costs. Dracula Parasitic Extraction (RCX) extracts parasitic devices from the integrated circuit layout for input to any circuit simulation. It can dramatically increase the probability of delivering first pass silicon that meets performance criteria. 3. IncisiveFunctional Verification Platform The challenges facing verification teams have grown in parallel with the size and complexity of chips and embedded software. Dealing with today s multimillion-gate designsand the inefficiencies of multiple, unrelated toolsetsyou struggle to squeeze in enough cycles to provide reasonable assurance that functional bugs will not surface in silicon. To effectively verify highly complex digital, SoC, and mixed-signal ICs requires replacing the current fragmented process with a new-generation approach that unifies tools, standards, and methodologies. And, to avoid putting your design schedules at risk, you need an approach you can phase in over time. The Incisive platform employs a single-kernel architecture that overcomes fragmentation by unifying multiple verification techniques around a single engine. It natively supports Verilog, VHDL, SystemC, PSL/OVL, SystemVerilog and analog/mixed-signal verification. The same platform delivers Acceleration-on-Demand, transaction-level support, HDL analysis (linting), coverage, debug and analysis, and test generation. Not only is Incisive designed so you can adopt these technologies incrementally, but it can also deliver the speed and efficiency required to compress overall verification time by as much as 50 percent. (a) Incisive Unified Simulator The Cadence Incisive Unified Simulator, part of the Incisive platform, provides everything you need to verify today s toughest designs. Its single-kernel architecture natively supports Verilog, VHDL, SystemC, SystemC Verification library (SCV), and PSL/Sugar assertions. Incisive includes a comprehensive verification environment including full transaction-level sup- 17

18 port and unified test generation. You can extend Incisive with other elements of the Incisive platform including Acceleration-on-Demand with Incisive XLD, analog/mixed signal/rf verification using Incisive AMS, and algorithm development and verification using Incisive SPW. Benefits i. Offers the ultimate simulation-based speed and efficiency. ii. Provides 100x RTL performance through native transaction-level simulation. iii. Reduces testbench development up to 50% with transactionlevel support, unified test generation, and verification component reuse. iv. Decreases debug time up to 25% through unified transaction/signal viewing, native assertion support, and unified debug environment for all languages. v. Increases RTL performance by 100x with optional Accelerationon-Demand. Features i. Heterogeneous single-kernel architecture. ii. Unified simulation and debug environments. iii. Integrated transaction environment. iv. Dynamic assertion support. v. Native PSL/Sugar assertion and OVL support. vi. Optional acceleration-on-demand. vii. HDL analysis. viii. Comprehensive coverage. ix. Optional mixed-signal and algorithm design. Simulation i. Verilog (IEEE , majority of IEEE extensions). ii. VHDL (IEEE , IEEE , IEEE (VITAL 2000)). iii. SystemC (OSCI SystemC v2.01). iv. SystemC verification library (OSCI SCV 1.0). 4. BuildGates r Synthesis The Cadence BuildGates synthesis tool delivers dramatic performance and productivity benefits over conventional synthesis tools, yielding superior quality of results with less manual intervention. This is why leading IC design companies and silicon vendors have rapidly adopted it as their synthesis tool of choice for fully exploiting silicon process technology advances. The high-capacity BuildGates database allows synthesis of more of 18

19 the design at once, while its fast runtime assures rapid turnaround, making chip-level synthesis practical. Generally, quality of results improve when more of the design is synthesized at once. The tool has a larger solution space and fulldesign visibility to freely propagate constants and trade off timing across multiple blocks. The result is improved quality of results over bottom-up synthesis, enabled through high capacity and performance. Benefits and Features (a) RTL block synthesis capacity in excess of 1 million gates. (b) Integrated sign-off static timing analysis (STA). (c) STA capacity in excess of 20 million gates. (d) Automatic time budgeting. (e) Integrated scan insertion and design for test (DFT) rule checking. (f) Built-in distributed synthesis capability. (g) Full interface capability to Cadence and other place-and-route tools. (h) Support for new Verilog 2001constructs. (i) TCL scripting; TCL/Tk GUI. 19

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Incisive Enterprise Verifier

Incisive Enterprise Verifier Integrated formal analysis and simulation engines for faster verification closure With dual power from integrated formal analysis and simulation engines, Cadence Incisive Enterprise Verifier allows designers,

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering, Welcome JAN 2009 Agenda Presentation Team: Pascal Bolzhauser, Key Developer, pascal@concept.de Lothar Linhard, VP Engineering, lothar427@concept.de Agenda: Company Overview Products: GateVision RTLVision

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi CADENCE TUTORIAL San Diego State University, Department of Electrical and Computer Engineering Amith Dharwadkar and Ashkan Ashrafi 1 Contents 1) 2) 3) 4) 5) 6) Introduction 3 Connecting to the Volta server..4

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Arifur Rahman, Spring 2004, Polytechnic University, NY Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Mapped Netlist Back Annotation using SDF File and mapped netlist

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support. 1. Services 1.1 Consulting Services Services offered to a unique customer to deliver modified or completed electronic designs, including semiconductor or Semiconductor Intellectual Property (SIP) products,

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cell-Based Design Flow. TA : 吳廸優

Cell-Based Design Flow. TA : 吳廸優 Cell-Based Design Flow TA : 吳廸優 dywu@viplab.cs.nctu.edu.tw 1 Outline Overview Design Flow Stage 1 RTL Development Synthesis Gate Level Simulation Design Flow Stage 2 Placement and Routing Post Layout Simulation

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

1 Design Process HOME CONTENTS INDEX. For further assistance,  or call your local support center 1 Design Process VHDL Compiler, a member of the Synopsys HDL Compiler family, translates and optimizes a VHDL description to an internal gate-level equivalent. This representation is then compiled with

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

The IIT standard cell library Version 2.1

The IIT standard cell library Version 2.1 The IIT standard cell library Version 2.1 Highlights - Support for AMI 0.35um library, including pads - Added Primetime and Pathmill support to IIT ASIC Flow - Support for stacked vias (for Virtuoso and

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Virtuoso Multiple Supply Multiple Voltage. (MSMV) Support. Nidhi Malik

Virtuoso Multiple Supply Multiple Voltage. (MSMV) Support. Nidhi Malik Virtuoso Multiple Supply Multiple Voltage (MSMV) Support Nidhi Malik Cadence Design Systems 91-120-2562842 nrustagi@cadence.com CDNLive, September 12-14, 2005 Silicon Valley Abstract There are innumerable

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Comprehensive design and verification with the industry s leading simulators

Comprehensive design and verification with the industry s leading simulators Comprehensive design and verification with the industry s leading simulators Cadence Virtuoso Multi-Mode Simulation combines industry-leading simulation engines to deliver a complete design and verification

More information