3D SoC Design Program. Paul Marchal on behalf of the 3D SoC design initiative

Size: px
Start display at page:

Download "3D SoC Design Program. Paul Marchal on behalf of the 3D SoC design initiative"

Transcription

1 3D SoC Design Program on behalf of the 3D SoC design initiative

2 Evolution in 3D Technologies Current Future Source: ChipPAC Limitations Peripheral bonds only Limited rerouting capabilities in laminate substrate Long wire bonds (high inductance, high crosstalk, low speed interconnect) For applications with lowdensity interconnects and with specific I/O pad routing Benefits Excellent electrical characteristics High densities For applications with high interconnects densities imec confidential

3 3D Integration Many System Opportunities Battery MEMS DNA Chip Image Sensor RF Chip Processor Memory Maintaining Moore s momentum increase functionality with number of additional layers 3D resolves the interconnect performance limitations the on-chip interconnect length and related repeater cost Heterogeneous integration build an integrated system with dedicated logic, SRAM, DRAM, FLASH, RF technologies add new sensors, batteries, etc. More modular & scalable design add new standardized components, replace existing ones with better performing ones Courtesy: Samsung Sleek form factor 1mm^3 corresponds to >100Mbit SRAM cells imec confidential

4 Industry buzz centers around 3D First products by our Fabless partners Design start As a reference, TSMC produced wafers in 2007 imec confidential

5 Essential questions for 3D adaptation need answer within next 2 years Where to apply 3D technology first? How to maximize value with 3D technology? How to design for 3D technology? What 3D technology to use? (pitch, via size, etc.) Where and how to get 3D technology as cheap as possible? How to guarantee reliability? How to test 3D technologies? How to package? imec confidential

6 Answers mostly should be analyzed from design/technology perspective Option A Option B scaled TSV on thinned wafers technology TSV Process and its cost implications? E.g., filling of TSVs Reliability due to strain TSV Thin wafer handling and related yield loss? Impact of wafer thinning on front-end? design Area impact of large TSVs? Capacitance of TSVs acceptable for the targeted application? Area benefits of smaller TSVs? Additional area for mitigating thermal issues? Multiple TSVs required for power/gnd distribution due to EM? Additional opportunities for system redesign Comparing cost of two technology options imec confidential

7 3D Program at IMEC to reduce time-2-market for 3D technologies Inputs: Design options Process options Track 4: Demonstrators Killer applications for 3D to validate all design assumptions IP Models IP Exploration (e.g. 3D NoC) System Design Architecture RTL to GDSII Track 3: Architecture Exploration for 3D Introduction into products requires an early matching of 3D technology performance and design options to meet product requirements Design Rules & Models Technology Assessment GDSII Manufacturing & Test Track 2: Design rules and models Reference design flow and early/predictive design rules and models enable technology/design co-optimization Process Modules Technology Research Outputs: Stack cost Performance Power SI Track 1: 3D Process Technology R&D 3D technologies for reliable stacking and interconnection of heterogeneous components, outperforming existing 2D technologies in cost, power, performance and time-2-market imec confidential

8 3D Integration Technologies at IMEC 3D Interconnect Technology 3D-WLP 3D-SIC UTCS VIA-2 Cu nail Embedded Thin die Cu-TSV Via Last Cu-TSV Via First Interconnect around die Through Si Through Si Peripheral /mm /mm 100 /mm Area-array k/mm k/mm 2 10k/mm 2 3D-TSV pitch µm 10 µm 3D-Interconnect pitch µm - - 3D-TSV diameter 15-35µm 1-5 µm Die thickness µm µm µm imec confidential

9 UTCS: Successful embedding of 17µm thin die; 100µm pitch daisy chain demonstrated Die Face up BCB Substrate Die BCB Substrate imec confidential

10 3D WLP Via Phase 2 No litho over topography Scalable via concept imec confidential

11 3D WLP Via Phase II Results Proof of concept demonstrated Isolation Si DRIE Polymer Fill Via Si DRIE 15 um 40 um 5 um PVD Cu seed Cu Bottom up fill imec confidential

12 3D-SIC Key technologies Via processing Extreme thinning Pick-and-place and bonding Through die Cu via Thinned and stacked die: 16.9 µm Stand-off gap: 722nm ~ 1 um TTV Full thickness bonding substrate imec confidential

13 First electrically yielding collective hybrid bonding Test results measured on 20 daisy chains CU06137 D06 CU06137 D03 working chains (%) Landing wafer after collective hybrid bonding of 10 dies number of vias Test results obtained for both experiments on 20 daisy chains Reproducible Electrical Yield, at least up to 1000 vias Yield loss attributed to particle inclusions: being investigated imec confidential

14 3D Program at IMEC to reduce time-2-market for 3D technologies Inputs: Design options Process options Track 4: Demonstrators Killer applications for 3D to validate all design assumptions IP Models IP Exploration (e.g. 3D NoC) System Design Architecture RTL to GDSII Track 3: Architecture Exploration for 3D Introduction into products requires an early matching of 3D technology performance and design options to meet product requirements Design Rules & Models Technology Assessment GDSII Manufacturing & Test Track 2: Design rules and models Reference design flow and early/predictive design rules and models enable technology/design co-optimization Process Modules Technology Research Outputs: Stack cost Performance Power SI Track 1: 3D Process Technology R&D 3D technologies for reliable stacking and interconnection of heterogeneous components, outperforming existing 2D technologies in cost, power, performance and time-2-market imec confidential

15 3D Design: Reference design flow and models Vision reference design flow and early/predictive design rules and models enable technology/design co-optimization Predictive Design rule assessment & Modeling Test-chip Design & Measurement Basic design kit Basic building blocks imec confidential

16 (Predictive) design rules and models bridging technology & design TSV characteristics: Electrical: capacitance, resistance Others: yield, stack alignment, Damage of TSV process to std CMOS E.g. mismatch due to crystal defects Impact of TSV and of relative process on transistors Impact of TSV and of relative process on wires Temperature distribution in a 3D chip Reliability rules Rules for mixed signal design (e.g., EMI, inductive and capacitive coupling) imec confidential

17 TCAD modeling of TSV Electrical Characteristics for Various Technology Options Upper IMD Layer Upper Metal Layer PMD Layer Basic process modules knowhow Lower Metal Layer TSV bcb layer Lower IMD Layer Angled 3D stack except Si-substrate C dep Copper IMD C ox M 1 PMD TSV P-Si Substrate BCB M IMD N Top Die Bottom Die Predictive design rules & models % Dielectric Thickness Capacitance Variation(fF) with Via Diameter and Dielectric Thickness Via Diameter (um) Design Kit & Path Finding Flow Copper Diagram Not To Scale imec confidential

18 Thermo-mechanical Design Rules for 3D TSVs Strain hardening model for Cu in TSV validated by comparing calculated vs measured strain in Si Peak shift (cm -1 ) ºC 250ºC ºC ºC Cu nail Cu nail via (110) (110) -0.2 Carrier Mobility Change (%) µm Position (µm) 5µm pmos Distance from Via (µm) Parallel Direction Perpend. Direction Stress by Narrow TSV = Little impact on carrier mobility 28 Keep-Away-Zone (µm) nm Copper Via Diameter (µm) imec confidential

19 Test-chip for calibrating design rules and models For 200mm wafers (2 metal layers - 130nm platform technology) TSV: Via diameter: 5um/Via pitch: 10um Compatible with both D2W and W2W bonding imec confidential

20 3D Test Structures TSV alignment EM + Yield chains TSV impact on BEOL TSV impact on FEOL TSV RF test imec confidential

21 TSV conduction centered+perimeter TSV array DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE DVM T+B DIE General characteristics: Array of TSVs over heater, diode aside on top and bottom die Replica without TSV Parameters to vary: Density of TSV array: 11x11 (13.64%), 9x9 (8.73%), 7x7 (4.91%), 5x5 (2.18%) imec confidential

22 Full circuit demonstrator: Ring Oscillator TSV T T T TSV B B B Hybrid RO with 2 TSVs, 21 inverter stages on top & 20 inverter stage on bottom (RO_HYB_HalfBotHalfTop) Measured: After Stacking Single Cascaded Inverter stage Frequency Divider imec confidential

23 Full circuit demonstrator: 3D Network-on-chip Memory Top Layer Pads Switch Via Control/Readout Switch TSV bundle Traffic Generator Bottom Layer imec confidential

24 Full circuit demonstrator: 3D Network-on-Chip Array of TSVs Logic Chip characteristics: 1mmx1mm, 50 Mhz, IMEC 130nm, 30 cell standard lib, 2 metal layers Independent JTAG for bottom/top 96 TSVs: 6 VDD/GND, 86 signals imec confidential

25 Insight to Be Gained Demonstrator: 3D NoC If functional tests are passed Electrical performance of 2D vs. 3D interconnects By injecting 2D-only or 3D traffic, and pushing frequency until still operational Yield analysis / redundancy policies for 3D vias By querying via status by JTAG Skew analysis for 3D clock distribution By skewing layer clocks on purpose and checking max achievable operating frequency Power analysis of 3D vias / NoCs By monitoring power in continuous operation mode Validate 3D design flow & the path finding flow By comparing measurement results with estimations Feasibility of Logic on logic stacking imec confidential

26 3D Design Kit for Test-chip Design Rule_0 indicate Error on Bottom Tier Rule_1 indicates Error on Top Tier Design Rule Checks Layout in 3D Layout vs. schematics Parasitic extraction & Simulation imec confidential

27 3D Program at IMEC to reduce time-2-market for 3D technologies Inputs: Design options Process options Track 4: Demonstrators Killer applications for 3D to validate all design assumptions IP Models IP Exploration (e.g. 3D NoC) System Design Architecture RTL to GDSII Track 3: Architecture Exploration for 3D Introduction into products requires an early matching of 3D technology performance and design options to meet product requirements Design Rules & Models Technology Assessment GDSII Manufacturing & Test Track 2: Design rules and models Reference design flow and early/predictive design rules and models enable technology/design co-optimization Process Modules Technology Research Outputs: Stack cost Performance Power SI Track 1: 3D Process Technology R&D 3D technologies for reliable stacking and interconnection of heterogeneous components, outperforming existing 2D technologies in cost, power, performance and time-2-market imec confidential

28 Typical Path Finding Questions What s the killer application? How to adapt the system architecture for the killer app? How to partition the design across multiple layers? What technology to use for each layer? Any idea about cost? Could you perform a physical design due-diligence? Can the targeted power/performance be achieved after P&R? What s the best 3D technology option for this design? E.g., TSS of different geometries on area/cost/performance of the system What s the best way for integrating TSVs? E.g., farm of TSS vs distributed TSS E.g., TSS inside the core area vs. TSS as alternative for IO-pad E.g., how much ESD to foresee What about TSV overhead for non-data signals? E.g., TSS required for power distribution Is this design feasible from a reliability view point? How do I need to adapt my design E.g., thermal analysis Can this design be packaged? Could you estimate cost? Need for a systematic way to explore the above questions at design start imec confidential

29 Architecture exploration including physical design due-diligence RTL Product specs C/C++ Spreadsheets TLM models System-design = using system-level simulation environment (CoWare?) Component-level Modeling Cost Modeling Tech. libs DRM43D 3D stack design = method to enter a multi-later stack design in Javelin = early estimation of area of each layer Pseudo-manual floorplanning = constraining floorplan with of TSSs = visualizing 3D floorplan $/performance/power Estimated P&R = P&R in each layer constraint to TSS = Performance/power analysis of the design as 1 system constraints DesignDB Thermal Analysis Hotspot [Later Apache Gradient] imec confidential

30 Component-level modeling essential link for physical design due-diligence RTL Data-mining? C/C++/systemC Arteris Auto-ESL Atomium? Component-level model: Area of the block Longest delay of any combinational path Input-to-clock time: the maximum delay from input pins to any flip-flop inside the block Clock-to-output time: max. delay between output pins and flip-flop inside the block Too low-level Most designs are available at RTL-level RTL is too detailed for physical design due-diligence of large SoC designs Method to extract just enough physical design information from RTL Too high-level At start of system design, no physical design is available Writing low-level RTL is too labor intensive for physical design duediligence Method to generate just enough physical design information starting from h imec confidential

31 3D Physical Design Exploration with Javelin DA Objective: Designers to explore the physical design impact of alternative design/technology options Outputs: Outputs power, area and performance estimates Interfaces to cost model, to thermal analysis tools, to design authoring EDA Implementation due Q3/Q In collaboration with Javelin DA to enable easy adoptation by industry About Javelin DA: US start-up Fast 2D silicon virtual prototyping engine Supports multiple layers with different technologies Courtesy Javelin-DA imec confidential

32 Overview cost model of 3D stack Process defect density in each layer [defects /cm^2] Design data (from pathfinding flow): e.g., # chip area p. layer [ mm^2] Wafer cost for both layers [$] Info on manufacturing flow: Which steps, what tools, what through put, what tool cost Cost Model outputs cost of chip stack 2D silicon cost To compute silicon cost of each tier 2D silicon cost model 2D silicon cost [$] Additional design data: e.g., # TSVs + Chip stack cost before yield loss [$] 3D yield loss Chip stack cost after Yield loss [$] 3D manufacturing cost 3D integration Cost Yield of the 3D Integration process [%] Test coverage before bonding [%] Inputs are size of each tier, and wafer cost 3D manufacturing cost Cost of the 3D integration based on fab model All data is available model for 3D SIC/WLP on the 200mm platform 300 mm data will follow next year 3D yield loss Estimates yield loss, and adjusts cost accordingly Accounts for 2D and 3D yield. imec confidential

33 Cost is put in function of manufacturing volume Total cost/wafer 600 Infrastructure (tools and clean room area) Personnel Material New tool purchase When Tool utilization over 80% Total cost per wafer ($) Wafer production volume (k wafers/year) imec confidential

34 Adding the yield model allows to assess cost of D2W or W2W bonding strategy D2W cost W2 W2W cost W2 3D-SIC cost p.u.a. of a yielding 3 tier die stack (a.u.)/mm 2 ) D2W cost W1 SIC process cost W2 SIC process cost W1 Die yield loss cost Die cost W3 Die cost W2 Die cost W1 D2W 3D-SIC cost p.u.a. of a yielding 3 tier die stack (a.u.)/mm 2 ) W2W cost W1 SIC process cost W2 SIC process cost W1 Die yield loss cost Die cost W3 Die cost W2 Die cost W1 W2W 60% 65% 70% 75% 80% 85% 2D Die Yield (%) 90% 95% 100% Assumptions: fixed 1cm 2 die size, Fault coverage KGD test=90%, W2W and D2W process yield = 95%, Production volume 30 k wafer stacks. 3D-SIC Cost p.u.a. = Stacked Wafer cost/(number of good 3D stacks x die area) 60% 65% 70% 75% 80% 85% 2D Die Yield (%) 90% 95% 100% imec confidential

35 Thermal Analysis 5x5 mm package 1 mm^2 hotspot Lots of work on thermal analysis Our job is to identify if thermal issues occur in our technology and how much area it will cost to mitigate them. imec confidential

36 3D Integration Program Partners Memory IDM STMicroelectronics Logic IDM Equipment & Materials Suppliers Lam RESEARCH Fabless 3D Program SAT EDA Foundries imec confidential

37 Summary 3D Technology provides many opportunities for design Adapting 3D technologies involves holistic rethinking of design, technology and business strategies IMEC has as objective to facilitate the adaption of 3D technologies R&D on 3D Process Technologies Supporting companies and their designers in evaluating 3D technology options Facilitate the transfer of the developed technologies to industry by creating a co-opetitive research environment imec confidential

38 3D Killer applications? Marco Facchini, Stephane Bronckers, Geert Van Der Plas,

39 Outline 3D & Memory Memory requirements Integration XRAMs 3D DRAM integration challenges 3D & RF applications Substrate noise in VCOs 3D simulation and results Summary imec confidential

40 Peeking into the (near) future - mobile HD1080TV based on AVC H.264 Focus on smart phone market 8 billion $ market <177m smart phones sold in 2007 CAGR exceeds 40% Cost and power are key in this market Next generation smart phones will have HD1080 integrated Required for peer-to-peer entertainment Liveleak.com/Youtube.com This application puts stringent power requirements on the memory subsystem imec confidential

41 Off-chip memory: large, high bandwidth, low power, low cost! 10s of MB Up to 4GB/s MBs cannot be cost-efficiently implemented with SRAMs in classical CMOS Going off-chip puts stringent requirements on memory bandwidth Power consumption becomes excessively high using existing DDR2 DRAMs Read/write ~ 800mW Background energy: >17mW-150mW imec confidential

42 Providing low-power/high performance offchip memory: 3D DRAMs CKE CLK CS# WE# CAS# RAS# Control Logic 3. Less complex protocol. No DDR, QDR or XDR needed 2. More IOs Lower I/F frequency 1024x20MHz<-> 32x800MHz A0-A12 BA0-B1 ADDRESS Counter Refresh Counter Row Address MUX x x Bank Control Logic Column Address Counter/ Latch Row Row Row Address Row Address Address Latch & Address Latch & Latch Decoder & Latch Decoder & Decoder Decoder Banks Sense Amplifiers I/O gating DQM MASK Logic READ DATA LATCH WRITE DRIVERS Column Decoder Data Ouput Register Data Input Register DRAM 15 DQs 1. TSVs Capacitance < 50x No bond paths No ESD? PCB Logic imec confidential

43 Re-engineering power-hungry I/O circuits controller DRAM Reflected signals DRAM DRAMs = high frequency signaling => transmission line Termination circuits to avoid reflection Historically, DRAM circuits have been made to drive PCB lines Standard solution = stub series terminated logic to avoid reflections Extremely difficult to design and integrate Circuit complexity PLLs Voltage reference circuits On die termination logic High power cost Static: DC current on the bus > 15mA when data is forced valid Dynamic Line capacitance ~ 2pF Packaging ~ pF On-chip termination circuitry ~ 2pF Transceiver capacitance ~ 0.6pF imec confidential

44 Low power scenarios for 3D I/O circuits Stripline TSVs (Cline changes, Cpack disappears) No terminations but high transceiver capacitance Simple CMOS coupling imec confidential

45 Initial I/O power estimations Configuration DQ power (mw) DDR DDR 2 DDR 3 SSTL/PCB SSTL/TSV SSTL/TSV no termination CMOS/TS V TSV capacitance: 287fF Operating frequency: 200Mhz imec confidential

46 Re-engineering the I/O width. Example from literature (1) K. Kumagai, C. Yang, et al., System-in-Silicon Architecture and its Application to H.264/AVC Motion Estimation for 1080HDTV, ISSCC imec confidential

47 Re-engineering the I/O width. Example from literature (2) Further optimizations are possible IO drivers as discussed before Re-fine architecture of I/F: Wide data IO is difficult to utilize. Slow operation frequency (25MHz vs. 200MHz) Inflexibility (can not customize the IO width & data height) imec confidential

48 On-chip memory requirements: an alternative for badly scaling SRAMs needed as well 40% of die area are SRAMs Scale badly below 45nm High leakage, Read/write energy Low area efficiency IMEC s AVC.H264 Possible Alternatives Don t scale 6T cell ->adds area, cost Use 8T cell ->adds area, leakage Use fully depleted device ->risk, cost Increase Vdd on memory ->power, power grid complexity Use EDRAM -> increased cost, refresh for distributed memories imec confidential

49 Small 3D DRAMs as an alternative: an extrapolation experiment (1) Goal: To evaluate SRAM-like DRAM memory performances: From SRAM world: peripherals, IO, typical size and speed Use of assumed DRAM technology 2 evaluation steps: Design level : design of a planar capacitance based memory in mixed mode 90 nm process CMOS based planar capacitances based on existing SRAM topology, added refresh Currently under test, but we have simulations Estimation level : evaluation of the changes due to DRAM process vertical Capacitance access transistors imec confidential

50 Small 3D DRAMs as an alternative: an extrapolation experiment (2) SRAM vs. DRAM area for 128kb/500Mhz 90nm UMC memory Global perifs local perifs array DRAM cells are 10x smaller compared to SRAM More than 2x area reduction by just changing the cell on a SRAM matrix Further reduction is possible, by optimizing the peripherals imec confidential

51 Small 3D DRAMs as an alternative: an extrapolation experiment (3) PSRAM / PDRAM Kbits More savings for infrequently used memories (e.g., L2s) f= 500MHz Similar read/write power for same performance Less power to retain data ( pw/bits), because refresh power is less compared to SRAM cell leakage TSV power cost can be contained if TSV capacitance is below 100fF imec confidential

52 More opportunities with resistive switching RAM technologies (1) Spin torque transfer ram Many different concepts & materials: Phase Change RAM Oxide Resistive RAM Conductive Bridging RAM Polymer RAM New STS MRAM Good scaling not limited by minimum charge (# electrons) Flash is depending on CHARGE DENSITY on Floating Gate With Flash scaling, # electrons becomes (too) small 2-terminal device dense arrays possible 2-terminal device high write current scales with technology Outperform NAND/NOR FLASH in read/write access time New system design opportunities Y. Xie, DAC2008, 4MB Cache (extrapolated with Cacti) imec confidential

53 More opportunities with resistive switching RAM technologies (2) 4Mb L2 memory IPC Y. Xie, DAC2008, 4MB Cache (extrapolated with Cacti) Many NVM options/design to explore to limit power Great opportunities for memory architecture design imec confidential

54 Integration schemes for (X)RAMs in 3D 10000s TSVs CKE CLK CS# WE# CAS# RAS# 12 -B1 Control Logic ADDRESS Counter Refresh Counter Row Address MUX x x Bank Control Logic Column Address Counter/ Latch Row Row Row Address Row Address Address Latch & Address Latch & Latch Decoder & Latch Decoder & Decoder Decoder Banks Sense Amplifiers I/O gating DQM MASK Logic READ DATA LATCH WRITE DRIVERS Column Decoder Data Ouput Register Data Input Register DRAM 15 DQs 1000s TSVs Higher aspects ratios (>1:10) Higher overlay accuracy (below 1um) => Requires a completely different process technology all together (e.g., W2W or silicon layer growth) Benefits of Aggressive partitioning vs. 3D Technology complexity/cost should be examined 100s TSVs imec confidential

55 Main challenges Short term: off-chip 3D DRAMs Re-designing the memory interface for lower power, while increasing the bandwidth between the logic die and the DRAM banks. Evaluating alternative 3D technology stacking options for performance, power and cost Resolve thermo-mechanical challenges such that they do not degrade the DRAM performance. Develop a test and repair strategy Support standardization for low cost and acceptance of product on market Longer term: memory architecture re-design with 3D XRAMs Predictive IP models for aggressively scaled NVM memories, including various 3D integration schemes Identify system opportunities for improving memory architectures with 3D XRAMs Develop appropriate 3D technology and NVM technology imec confidential

56 Outline 3D & Memory Memory requirements Opportunity for low power 3D DRAM integration challenges Beyond the next generation DRAM 3D & RF applications Substrate noise in VCOs 3D simulation and results Summary imec confidential

57 Substrate noise can severely harm the VCO performance in Mixed-Signal SoCs Digital Analog/RF Output Power (dbc) Frequency Leakage to adjacent channels Phase Noise degradation imec confidential

58 Can 3D-integration solve the signal integrity issues? An experiment can be setup to measure the isolation improvement in the case of 3D-stacking Test case: very sensitive 60GHz VCO Preliminary feasibility study in HFSS is performed imec confidential

59 3D stacked 60GHz VCO experiment Dicing the substrate contact from one VCO Glue on top of another VCO IBIAS G P G G G Output VCO (Die 2) S S P P VDD VTUNE G G G S G Substrate noise (Die 1) imec confidential

60 3D stacked 60GHz VCO experiment Output VCO (Die 2) Substrate noise Substrate noise (Die 1) How good is the substrate noise isolation? imec confidential

61 After using10gb HDD and 8Gb Ram, and 8 hours later... imec confidential

62 Summary DRAM & RF seem killer applications for 3D 3D & heterogeneous memory integration Starting with DRAM with improved I/F Going for more advanced NVM memories System-level requirements for 3D memories need to be set Determine internal memory design Set requirements for 3D technology roadmap RF applications Substrate noise reduction and X-coupling seem low in simulation, but need to be validated experimentally Implications on RF circuit design need to be further explored imec confidential

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

The communication bottleneck

The communication bottleneck 3D-MPSoCs: architectural and design technology outlook Luca Benini DEIS Università di Bologna lbenini@deis.unibo.it The communication bottleneck Architectural issues Traditional shared buses do not scale

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

SRC 3D Summit. Bob Patti, CTO

SRC 3D Summit. Bob Patti, CTO SRC 3D Summit Bob Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm 28nm 22nm 16nm 2 How Real is 3D??? 560μ Samsung 16Gb

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

A 1.5GHz Third Generation Itanium Processor

A 1.5GHz Third Generation Itanium Processor A 1.5GHz Third Generation Itanium Processor Jason Stinson, Stefan Rusu Intel Corporation, Santa Clara, CA 1 Outline Processor highlights Process technology details Itanium processor evolution Block diagram

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Emerging NVM Memory Technologies

Emerging NVM Memory Technologies Emerging NVM Memory Technologies Yuan Xie Associate Professor The Pennsylvania State University Department of Computer Science & Engineering www.cse.psu.edu/~yuanxie yuanxie@cse.psu.edu Position Statement

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory A Low Power 720p Motion Estimation Processor with 3D Stacked Memory Shuping Zhang, Jinjia Zhou, Dajiang Zhou and Satoshi Goto Graduate School of Information, Production and Systems, Waseda University 2-7

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2 ISSN 2277-2685 IJESR/June 2016/ Vol-6/Issue-6/150-156 G. Sri Harsha et. al., / International Journal of Engineering & Science Research VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Advances in Flexible Hybrid Electronics Reliability

Advances in Flexible Hybrid Electronics Reliability Advances in Flexible Hybrid Electronics Reliability LOPEC Smart & Hybrid Systems Munich 3/29/17 This work sponsored in part by Air Force Research Laboratory, Wright-Patterson AFB, for supporting reliability

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO 3D Integration: New Opportunities for Speed, Power and Performance Robert Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the

More information

Preliminary Product Overview

Preliminary Product Overview Preliminary Product Overview Features 1.0 A per channel / 3.0 A Total Current Maximum Voltage (AC or DC): +150 V Low On-State Resistance < 1.0 Ω 10 GΩ Input to Output Isolation < 10us Switching Time High

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly)

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly) Memories and SRAM 1 Silicon Memories Why store things in silicon? It s fast!!! Compatible with logic devices (mostly) The main goal is to be cheap Dense -- The smaller the bits, the less area you need,

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 13 COMPUTER MEMORY So far, have viewed computer memory in a very simple way Two memory areas in our computer: The register file Small number

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 1 Multilevel Memories Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind CPU-Memory Bottleneck 6.823

More information