Introduction to Layout design

Size: px
Start display at page:

Download "Introduction to Layout design"

Transcription

1 Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: 1

2 Introduction to layout design Layout consist in: Draw geometrical objects of different layers, Make arrays of geometrical objects, Make blocks of arrays for the core, interface, and padframe. Interconnect blocks and interfaces to the padframe, All the layout must satisfy design rules Patterns for the fabrication the masks Why design rules?

3 Layers for designs masks used by L-Edit Default SCNA CMOS Layers Physical layer Name Color N-well N-WELL TAN dashed SiN ACTIVE GREEN filled Polysilicon 1 POLY1 RED filled Polysilicon POLY BLACK filled Contact to poly POLY CONTACT BLACK filled P+ Ion implant PSELECT RED dashed N+ Ion implant NSELECT TAN dashed Contact to N+/P+ ACTIVE CONTACT BLACK filled Metal 1 METAL 1 BLUE filled Metal METAL GREY filled Via oxide cuts VIA WHITE filled Pad contacts OVERGLASS Purple crosshatch

4 Considerations in layout of CMOS D G S Basic layout of a MOSFET 4

5 Considerations in layout of CMOS Changes in W due to tolerances in the fabrication process oxide encroachment D G FOX FOX Drawn Width S p-substrate FOX FOX bird s beak gate oxide FOX FOX FOX W DW/ W p-substrate ideal real 5

6 Considerations in layout of CMOS Changes in L due to tolerances in the fabrication process: Lateral diffusion Drawn Length FOX p + FOX p + n-well L D G S ideal FOX p + FOX p + L DL/ real 6

7 Considerations in layout of CMOS Minimize unwanted diffusion Use minimum poly width (λ) 7

8 Consideration in layout of CMOS Compensating encroachment oxide and lateral diffusion: Due to oxide encroachment, the effective channel width of MOSFETs is smaller than the drawn width. The BSIM SPICE model gives the DW to consider oxide encroachment W eff = W M DW where W M is the drawn width, DW is twice the oxide encroachment. Similarly, due to lateral diffusion, the effective channel length is smaller than the drawn length. The BSIM SPICE model gives the LD and DL parameters to consider lateral diffusion and the changes due to lithography. L eff = L M LD DL Where L M is the drawn length, LD is the interdiffusion and DL is the reduction because of lithography. 8

9 Considerations in layout of CMOS Changes in W due to tolerances in the fabrication process: shadow effect D & S Asymmetry: tilted implant beam to avoid channeling shadowed region Drawn Length D G S asymmetry 9

10 Considerations in layout of CMOS Compensating the shadow effect The asymmetry caused by the shadowing can be ameliorated: assume that transistors are placed parallel as indicated in the Fig. a; if the shadowed terminal is D (or S), then the two devices sustain no asymmetry resulting from shadowing. D if transistor are placed as in the Fig. b; one can add dummy transistors to the two sides of transistors, so that T1 and T see approximately the same environment. D D S D S Fig.b T1 T S Fig.a S Dummy D T1 S D T S Dummy 10

11 Considerations in layout of CMOS Antenna Effect: During the etching of metal1 layer, the metal area acts as an antenna, collecting ions and then rising in potential, therefore the gate voltage can increase so much that the gate oxide breaks down during fabrication. layout susceptible to antenna effect (MOSFET tied to a metal 1 layer having a large area) Antenna Effect Compensation: If large area are inevitable (Fig. a), then a discontinuity can be created as indicated in Fig. b discontinuity in metal 1 layer to avoid antenna effect 11

12 Considerations in layout of CMOS Parasitic Bipolar transistors in CMOS technology NPN NPNP S G PNP S G D B B D N+ N+ P+ N+ P+ P+ n-well p-substrate CMOS Latch-Up When a transient disturbance turns-on either parasitic Q the current flowing through this device will turn on the other parasitic as well. Each Q supplies the other s Base current. Once both Qs begin to conduct they will continue to do so even if the transient disturbance that initiated conduction is removed. The circuit has latched up and it will remain in this state until power is removed. The integrated circuit can actually conduct so much current that it overheats and selfdestructs. Even if this does not occur, latch up causes circuit malfunction and excessive supply current consumption. 1

13 Considerations in layout of CMOS Minimizing Latch-Up Some approaches to reduce latch up Eliminate the forward biased junctions that cause the problem; simple but difficult to achieve Increase the spacing between components. Separate potential injectors from sensitive circuitry, i.e. place power transistors far away of sensitive input circuitry. Increase doping concentrations. CMOS and BiCMOS processes often employ P + substrates to reduce the gain of parasitic Qs. Provide alternate collectors to remove unwanted minority carriers. Use a heavily doped isolation or Bondpad add suitable guard rings. Sensitive analog circuit shielded by the guard ring Guard ring 1

14 Considerations in layout of CMOS Minimizing Latch-Up B contact B contact PMoat guard ring (hole collecting) NMoat guard ring (electron collecting) 14

15 Design Rules for Layout 15

16 Design rules The Design Rules are related to manufacturing constraints and tolerances. There are two systems of rules: Specific values (usually in microns, although there are other possibilities; mils, millimeters, centimeters or inches). Scalable (multiples of some metric λ, typically ½ of the technology) for maximum flexibility. There are five types of Design Rules Minimum width Exact Width Minimum space Surrounding Overlap and Extend 16

17 Basic Design Rules Some SCMOS layout Rules (MOSIS um) Physical layer Meaning Value N-well Minimum well size 10λ N-well spacing Between wells 6λ Poly overlap Minimum extension over ACTIVE λ Poly-Active Minimum spacing 1λ MOSFET Width Minimum N+/P+ MOSFET W λ Active Contact Exact size λxλ Active Contact Minimum space to active edge λ Poly Contact Exact size λxλ Poly Contact Minimum space to poly edge λ Via Exact Size λ Refer: J. P. Uyemura /Physical design of CMOS integrated circuits using L-EDIT 17

18 Minimum width: Design rules The width and (lengths) of the geometries defined on a mask must exceed a minimum value imposed by both lithographic and processing capabilities of the technology. For example if a poly rectangle is excessively narrow, then owing to fabrication tolerances it may break or at least suffer from a large local resistance. 18

19 Exact size: Design rules Certain objects are only permitted to have exact dimensions of (AxB) units as shown in the figure below. This rule apply specifically to contacts and vias to enhance the yield process. A B 19

20 Minimum spacing: Design rules The geometries built in the same mask (or different mask) must be separated by minimum spacing. For example if two poly lines are placed too close to each other, they may be shorted. 0

21 Minimum enclosure: Design rules For example, the active, n+ implant and p+ implant must surround the transistor with sufficient margin to guarantee that the device is contained by these geometries despite fabrication tolerances. 1

22 Minimum extend: Design rules The width and (lengths) of the geometries defined on a mask must exceed a minimum value imposed by both lithographic and processing capabilities of the technology. This is because some geometries must extend beyond the edge of others by a minimum value: For example, for the scheme shown in the figure, the Gate poly rectangle must have a minimum extension beyond the active area to ensure proper transistor action at the edge. Active After implant Poly gate Poly gate Short circuit

23 Design Rules set for standard CMOS processes See for a complete set of design rules for various process: IBM, AMIS, TSMC processes at:

24 Design Rules: Well Lambda Rule Description SCM O S SUBM DEEP 1.1 Minimum width Minimum spacing between wells at different potential Minimum spacing between wells at same potential Minimum spacing between wells of different type (if both are drawn) Exceptions for AMIS C0 0.5 micron process: 1 Use lambda=16 for rule 1. only when using SCN4M or SCN4ME Use lambda=1 for rule 1. only when using SCN4M_SUBM or SCN4ME_SUBM Use lambda=8 for rule 1. only when using SCN4M or SCN4ME 4 Use lambda=11 for rule 1. only when using SCN4M_SUBM or SCN4ME_SUBM 4

25 Design Rules: Active Rule Description SCMOS Lambda SUBM DEEP.1 Minimum width * *. Minimum spacing. Source/d ain active to well edge Substrate/well contact active to well edge.5 Minimum spacing between non-abutting active of different implant * Note: For analog and critical digital designs, MOSIS recommends the following minimum MOS channel widths (active under poly) for AMIS designs. Narrower devices, down to design rule minimum, will be functional, but their electrical characteristics will not scale, and their performance is not predictable from MOSIS SPICE parameters. Process DesignTechnology Design Lambda (microme) Minimum Width (lambda) AMI_ABN SCNA, SCNE AMI_C5F/N SCNM,SCNME AMI_C5F/N SCNM_SUBM,ME_SUBM

26 Design Rules: Poly Rule Description SCMOS Lambda SUBM DEEP.1 Minimum width. Minimum spacing over field..a Minimum spacing over active 4. Minimum gate extension of active.5.4 Minimum active extension of poly 4.5 Minimum field poly to active

27 Design Rules: P & N Select Rule Description SCMOS Lambda SUBM DEEP 4.1 Minimum select spacing to channel of transistor to ensure adequate source/drain width 4. Minimum select overlap of active 4. Minimum select overlap of contact Minimum select width and spacing (Note: P-select and N- select may be coincident, but must not overlap) (not illustrated) 1 4 Exception for AMIS C0 0.5 micron process: 1 Use lambda= for rule 4.4 only when using SCN4M_SUBM or SCN4ME_SUBM 7

28 Rule Design Rules: Contact to Poly Simple contact to Poly Alternative contact to Poly Lambda Description Rule Description SCMOS SUBM DEEP SCMOS Lambda SUB M DEEP 5.1 Exact contact size x x x 5..b Minimum poly overlap Minimum poly overlap Minimum contact spacing Minimum spacing to gate of transistor b 5.6.b 5.7.b Minimum spacing to other poly Minimum spacing to active (one contact) Minimum spacing to active (many contacts)

29 Rule Minimum spacing to gate of transistor Design Rules: Contact to Active Simple contact to Active Description Exact contact size Minimum active overlap Minimum contact spacing SCMOS x 1.5 Lambda SUBM x 1.5 DEEP x Rule 6..b 6.5.b 6.6.b 6.7.b 6.8.b Alternative contact to Active Description Minimum active overlap Minimum spacing to diffusion active Minimum spacing to field poly (one contact) Minimum spacing to field poly (many contacts) Minimum spacing to poly contact SCM OS Lambda SU BM DE EP

30 Design Rules: Metal1 Rule Description SCMOS Lambda SUBM DEEP 7.1 Minimum width 7. Minimum spacing 7. Minimum overlap of any contact Minimum spacing when either metal line is wider than 10 lambda

31 Design Rules: Via Lambda Rule Description Metal Process + Metal Process SCMOS SUBM DEEP SCMOS SUBM DEEP 8.1 Exact size x n/a n/a x x x 8. Minimum via1 spacing n/a n/a 8. Minimum overlap by metal1 1 n/a n/a Minimum spacing to contact for technology codes mapped to processes that do not allow stacked vias (SCNA, SCNE, SCNM, SCNMLC) n/a n/a n/a 8.5 Minimum spacing to poly or active edge for technology codes mapped to processes that do not allow stacked vias (NOTE: list is not same as for 8.4) n/a n/a n/a 1

32 Design Rules: Via (cont.)

33 Design Rules: Overglass Rule Description Microns 10.1 Minimum bonding passivation opening Minimum probe passivation opening Pad metal overlap of passivation Minimum pad spacing to unrelated metal Minimum pad spacing to active, poly or poly 15

34 Design Rule Checker 4

35 Design verification Design rules are contained within technology file that defines the screen in the L-EDIT buffer. Any other technology file may be loaded using SETUP command. DRC examines the dimensions and spacing for every geometrical object in the layout, and looks for violations of the design rules. DRC allows to check the design before it is submitted for fabrication. 5

36 Full chip DRC: Design verification: options This option checks the entire layout for design rule violations. The DRC divides the layout into a grid of square bins, and then analyses each bin for adherence to the layout rules. Separating the layout in this manner speeds up the analysis, since only local objects are compared. Region-only chip DRC: When this option is selected, the region to be checked is defined by drawing a box with the mouse. All objects that are contained in, or touch the boundary of, this box will be checked. This particularly useful when a small section of layout is modified. 6

37 Design verification: error reporting Design rule violations are reported in ways: 1. Placing error ports at the location where a violation exists,. Placing error markers at the location where the violation exists,. Writing the violation to a text. Since the errors are shown on the layout, they can be identified and corrected. To remove error layer, use the Clear Error Layer command. A typical report in a text file use the following format: <Rule Name>=<Distance><Unit Name>; (<x1>,<y1>) -> (<x><y>) <Rule Name> is the rule that was violated <distance> is the required value of the rule <Unit Name> specifies the units used (λ or um) (<x1>,<y1>) -> (<x>,<y>) specifies the coordinates where violation was found. 7

38 Example: checking design rules The layout in the figure, shows violations of some design rules λ=1um 8

39 Example: checking design rules The layout in the figure, shows no violations of design rules 9

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

MOSIS Scalable CMOS (SCMOS) Design Rules

MOSIS Scalable CMOS (SCMOS) Design Rules MOSIS Scalable CMOS (SCMOS) Design Rules (Revision 8.00) From : http://www.mosis.com/technical/designrules/scmos/scmos-main.html Updated: October 4, 2004 1. Introduction This document defines the official

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

VBIT COURSE MATERIAL VLSI DESIGN

VBIT COURSE MATERIAL VLSI DESIGN UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2μm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

Magic Technology Manual #1: NMOS

Magic Technology Manual #1: NMOS Magic Technology Manual #1: NMOS John Ousterhout Computer Science Division Electrical Engineering and Computer Sciences University of California Berkeley, CA 970 (Warning: Process details often change.

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate length Gate oxide A good reference is http://jas2.eng.buffalo.edu/applets/education/fab/nmos/nmos.html

More information

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules. Page 1 of 5 CADENCE TUTORIAL Creating Layout of an inverter: click on File->library->new a Create Library form appears, fill it as follows: in the name field enter: inverter select "Attach to existing

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 8 Design Rules Adib Abrishamifar EE Department IUST Contents Design Rules CMOS Process Layers Intra-Layer Design Rules Via s and Contacts Select Layer Example Cell

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS Technology Developed by Ted Obuchowicz VLSI/CAD Specialist, Dept. of Electrical and Computer Engineering Concordia

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process Numonyx JSPCM128A00B85ES 90 nm BiCMOS PCM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

0.35um design verifications

0.35um design verifications 0.35um design verifications Path end segment check (END) First check is the end segment check, This error is related to the routing metals when routing is done with a path. The finish of this path can

More information

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural

More information

Texas Instruments Solution for Undershoot Protection for Bus Switches

Texas Instruments Solution for Undershoot Protection for Bus Switches Application Report SCDA007 - APRIL 2000 Texas Instruments Solution for Undershoot Protection for Bus Switches Nadira Sultana and Chris Graves Standard Linear & Logic ABSTRACT Three solutions for undershoot

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science 6.374: Analysis and Design of Digital Integrated Circuits Using MAGIC Fall 2003 Issued: 9/04/00 Basic Usage

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

28F K (256K x 8) FLASH MEMORY

28F K (256K x 8) FLASH MEMORY 28F020 2048K (256K x 8) FLASH MEMOR SmartDie Product Specification Flash Electrical Chip Erase 2 Second Typical Chip Erase Quick-Pulse Programming Algorithm 10 ms Typical Byte Program 4 Second Chip Program

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis June 12, 2006 Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL 97 APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL INTRODUCTION TCAD (Technology Computer Aided Design) is a technology that solves the equations representing the manufacturing process of Large Scale Integration

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

(12) Patent Application Publication (10) Pub. No.: US 2007/ A1

(12) Patent Application Publication (10) Pub. No.: US 2007/ A1 (19) United States US 2007 O123021A1 (12) Patent Application Publication (10) Pub. No.: US 2007/0123021 A1 Su et al. (43) Pub. Date: May 31, 2007 (54) CIRCUIT UNDER PAD STRUCTURE AND (30) Foreign Application

More information

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

CMOS VLSI DESIGN ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN. Dr. Lynn Fuller

CMOS VLSI DESIGN ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN Dr. Lynn Fuller Webpage: http://people.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor

Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor Custom Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

General Purpose, Low Noise NPN Silicon Bipolar Transistor. Technical Data AT AT-41533

General Purpose, Low Noise NPN Silicon Bipolar Transistor. Technical Data AT AT-41533 General Purpose, Low Noise NPN Silicon Bipolar Transistor Technical Data AT-411 AT-433 Features General Purpose NPN Bipolar Transistor 9 MHz Performance: AT-411: 1 db NF,. db G A AT-433: 1 db NF, 14. db

More information

Pentium PROCESSORS AT icomp INDEX 1110\133, 1000\120, 815\100 MHz WITH VOLTAGE REDUCTION TECHNOLOGY SmartDie Product Specification

Pentium PROCESSORS AT icomp INDEX 1110\133, 1000\120, 815\100 MHz WITH VOLTAGE REDUCTION TECHNOLOGY SmartDie Product Specification A Pentium PROCESSORS AT icomp INDEX 1110\133, 1000\120, 815\100 MHz WITH VOLTAGE REDUCTION TECHNOLOGY SmartDie Product Specification Compatible with Large Software Base MS-DOS*, Windows*, OS/2*, UNIX*

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters 1: Measurement and Extraction of BSIM4 Model Parameters The following section summarizes some aspects of UCB s BSIM4 Model and Agilent s IC-CAP Modeling Package to measure and extract BSIM4 model parameters.

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Digital VLSI Design I

Digital VLSI Design I igital VLSI esign I MITRM XMITIO Problems Points. 4. 0. Total 6 Was the exam fair? yes no Problem 4 points Mark your yes, no, or not applicable answers for all the given choices!.. onsidering unit size

More information

CMOS Layout. Measure twice, fab once. L12 CMOS Layout Fall /16/02

CMOS Layout. Measure twice, fab once. L12 CMOS Layout Fall /16/02 CMOS Layout Measure twice, fab once L12 CMOS Layout 1 Lambda-based design rules One lambda = one half of the minimum mask dimension, typically the length of a transistor channel. Usually all edges must

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

Low Current, High Performance NPN Silicon Bipolar Transistor. Technical Data AT AT-32033

Low Current, High Performance NPN Silicon Bipolar Transistor. Technical Data AT AT-32033 Low Current, High Performance NPN Silicon Bipolar Transistor Technical Data AT-311 AT-333 Features High Performance Bipolar Transistor Optimized for Low Current, Low Voltage Operation 9 MHz Performance:

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM MAGIC TUTORIAL Getting Started: Login to one of the workstations located in ECEE 253 using login name iclab. There is no password so just hit [enter]. The local directory on each machine is /home/sp98/iclab.

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

EF-S1 SERIES. Continuously check invisible static electricity in lines. Constantly check static in lines!

EF-S1 SERIES. Continuously check invisible static electricity in lines. Constantly check static in lines! 1215 PHOTO PHOTO SAFETY USE SERIES Related Information General terms and conditions... F- General precautions... P.1595 guide... P.1155~ MEASUREMENT panasonic.net/id/pidsx/global Continuously check invisible

More information