25 nm Omega FinFET: Three-dimensional Process and Device Simulations

Size: px
Start display at page:

Download "25 nm Omega FinFET: Three-dimensional Process and Device Simulations"

Transcription

1 25 nm Omega FinFET: Three-dimensional Process and Device Simulations Abstract This Sentaurus simulation project provides a template setup for three-dimensional process simulation and device simulations of Omega FinFETs. The threedimensional process simulation is based on a particularly robust approach in which geometry-altering and dopant-related processing steps are executed sequentially in two separate groups. The Sentaurus Workbench template project also performs 3D quantum transport I d V gs simulations using the density gradient model. The influence of the complex dopant redistribution during the short annealing (RTA) on the electrical characteristics of the final FinFET is discussed. In addition, the influence of quantum effects on this nanoscale device is investigated. Version Information This application note has been designed and verified using TCAD Sentaurus Version Running it with previous or future versions may possibly require minor adjustments.

2 Synopsys and the Synopsys logo are registered trademarks of Synopsys, Inc. Copyright 2007 Synopsys, Inc. All rights reserved.

3 Introduction Shrinking feature sizes and novel device designs increase the need for three-dimensional process and device simulations. TCAD Sentaurus offers a unique approach to robust 3D process simulation, which is relevant to many modern applications. This approach is based on the observation that the optimal structure representation for the simulation of geometryaltering processing steps (patterning, etching, deposition, fill, chemical-mechanical polishing (CMP)) and dopantrelated processing steps (implantation, annealing) is very different. While for geometry-altering steps, it is sufficient to represent the structure as a set of boundaries, for dopantrelated steps, the entire volume of the structure must be represented by a finite-element mesh. For many modern technologies, it is advantageous to separate these two kinds of processing step. The advantages of this approach is considerable gain in simulation speed as well as an improvement in robustness. This approach requires the (time-consuming) generation of a finite-element mesh only once, after all geometry-altering processing steps are modeled, instead of after each geometry-altering processing step. This approach to 3D process simulation is illustrated here for the example of a 25 nm NMOS Omega FinFET. FinFETs are interesting candidates for scaling CMOS devices into the nanoscale regime. However, these nonplanar devices are inherently three-dimensional in nature. Therefore, for FinFETs, any meaningful TCAD process or device simulation must be performed in three dimensions. Inspect. For an introduction and tutorials, refer to the Sentaurus training material. The focus of this project is to provide a setup that can be used as is or adapted to specific needs. The documentation focuses on aspects of the setups. For details about tool uses and specific tool syntax, refer to the respective manuals. Process flow The process flow used here is similar to the flow presented by F.-L. ang et al. [2][3]. Figure 1 to Figure 9 show the device structure at various stages of the process flow. Exploiting the symmetry of the structure, only the drain-half of the FinFET is modeled. The process flow consists of the following steps. The starting material is an SOI wafer with a top silicon layer thickness of 50 nm. The top silicon layer has initially a uniform boron concentration of 5 x cm 3. All lithographic patterning is based on a line width of 45 nm. 1. Fin Mask Definition 1.1 Deposit a protective hard mask 1.2 Define an intermediate mask 1.3 Isotropic deposition of nitride (25 nm) 1.4 Anisotropic etching of nitride 1.5 Strip intermediate mask This sequence of processing steps results in a 25 nm wide mask, which is well below the assumed lithographic capabilities (45 nm). Within this approach, first the geometric process modeling tool (here, Sentaurus Structure Editor in process emulation mode) executes all geometry-altering processing steps and saves all intermediate device structures before dopantrelated processing steps. In a second pass, Sentaurus Process automatically recreates the intermediate device structures and performs all implant and anneal steps [1]. A Sentaurus Workbench split has been added that allows users to select between using Sentaurus Process to simulate the implantation and annealing steps, or to skip this step and use analytic profiles. This split can be used for quick tests. After the process simulation, the I d V gs curves for a lowdrain bias and high-drain bias are simulated and relevant electrical parameters, such as threshold voltages and drain current levels, are extracted. It is assumed that the user is familiar with the Sentaurus tool suite, in particular, with Sentaurus Workbench, Sentaurus Process, Sentaurus Structure Editor, Sentaurus Device, and Figure 1 Device structure after Step 1.3. Region colors correspond to materials as follows: pink=silicon, magenta=polysilicon, brown=oxide, gold=nitride, green=hard mask. Copyright 2007 Synopsys, Inc. All rights reserved. 3

4 2. Source/ Mask Definition 2.1 Define an intermediate source/drain mask 2.2 Anisotropic deposition of a new nitride layer 2.3 Etch source/drain mask, lift-off of nitride layer 5. Gate Definition 5.1 Deposit gate oxide (2 nm) 5.2 Fill with polysilicon and CMP 5.3 Define gate mask 5.4 Etch polysilicon using isotropic underetch (gate trim) 5.5 Strip gate mask Fin Box Omega Underetch Figure 3 Device structure after Step 5.1. The view focuses on the underetch buried oxide, which allows the polysilicon gate to penetrate under the fin. Figure 2 Device structure after Step 2.3. To define the mask for the source/drain area, the lift-off technique is used. First, a mask in defined, which covers the channel fin. Then, another nitride layer is deposited anisotropically, such that the part of the newly created nitride layer, which covers the source/drain, is not in contact with the part that is on top of the resist layer. Next, the resist is removed by an isotropic (wet) etch process. Then, the unsupported nitride layer, which used to be on top of the resist, is flushed way with the solvent. This process is modeled with Sentaurus Structure Editor by deleting the resist region as well as the part of the nitride that was on top of the resist. 3. Fin and Source/ Area Creation 3.1 Etch protective hard mask and silicon layer 3.2 Strip intermediate nitride mask After depositing the gate oxide, the entire structure is filled with polysilicon. The gate mask is created using the lithographic line width of 45 nm. However, during the polysilicon etch, a mix of anisotropic (95%) and isotropic (5%) etching is applied, resulting is a gate length of 25 nm, that is, much shorter than the lithographic line width. 6. Extension Spacer Formation 6.1 Isotropic oxide deposition (2 nm) 6.2 Isotropic nitride deposition (5 nm) 6.3 Anisotropic nitride etching This sequence of processing steps cuts out the dog bone shaped silicon patch, which will form the channel fin as well as the source and drain areas. 4. Omega Creation 4.1 Isotropic etching of buried oxide (7 nm) 4.2 Strip protective hard mask To obtain an even better control of the gate over the channel, the buried oxide is etched isotropically and, therefore, is slightly undercut. This makes room for the gate material to wrap around the channel fin. Figure 4 Device structure after Step Copyright 2007 Synopsys, Inc. All rights reserved.

5 8. Source/ Spacer Formation 8.1 Isotropic nitride deposition (40 nm) 8.2 Anisotropic nitride etching Figure 5 Device structure after Step 6.3. An extension spacer is defined to prevent the penetration of the extension implants under the gate due to the inherent lateral straggle. 7. Extension Implantation (Dose=2.5 x cm 3, Energy=7.5 kev) 7.1 First arsenic implantation (Tilt=45 o, Rotation=270 o ) 7.2 Second arsenic implantation (Tilt=45 o, Rotation=90 o ) The extension implantation is performed by Sentaurus Process. To obtain a more uniform doping profile at the left and right sides of the fin, the implantation is performed in two steps. The implantation directions are shown in Figure 6, which also shows the as-implanted profile after the first implantation. Figure 7 Device structure after Step 8.2. The source and drain spacer is created using the same technique as used for the extension implant, but this spacer is much thicker. 9. Source/ Implantation 9.1 Phosphorus (Dose=4x10 14 cm 3, Energy=15 kev) To ensure a relatively uniform doping in the source/drain area, phosphorus is used. It penetrates deeper than arsenic and diffuses faster during activation/annealing. Figure 8 shows the as-implanted phosphorus profile. As-Implanted Phosphorus [cm-3] 6.0e e e e+18 Figure 6 As-Implanted Arsenic [cm -3 ] 2.0e e e+18 As-implanted arsenic profile after Step 7.1. Arrows indicate the direction of the implantation ion beams for the first (solid) and second (dashed) extension implant. For better viewing, only the (transparent) silicon layer as well as three arsenic isosurfaces are shown. Figure 8 As-implanted phosphorus profile after Step 9. Arrow indicates the direction of the implantation ion beam. For better viewing, only the (transparent) silicon layer and four phosphorus isosurfaces are shown. 10. Rapid Thermal Anneal (1 s at 1025 K, with a 3 s ramp-up and a 2 s rampdown) Copyright 2007 Synopsys, Inc. All rights reserved. 5

6 Final Doping [cm -3 ] a corresponding depletion of boron in the center of the channel fin in the extension area. 4.0e e e e e+19 Near the p-n junction, the electric field from the arsenic ions slightly depletes the boron concentration on the p-side and, further at the channel gate interface, boron segregation increases the surface concentration by approximately 7%. 1.5e e e e e Right Gate Final Arsenic [cm -3 ] 7.2e e e e+14 Figure 9 Fin Final net dopant distribution after Step 10. For better viewing, only the silicon layer is shown. 0 Fin The activation/annealing is simulated by Sentaurus Process using the pair diffusion model. The silicon layer is assumed to have initially a uniform boron concentration of 5x10 18 cm 3. However, due to the complex interaction of all dopants (arsenic, phosphorus, and boron) with point defects as well as among themselves via the electric field of the charge impurities, the boron distribution is nonuniform after the activation/annealing Top Gate Fin Final Phosphorus [cm -3 ] 1.0e e e e Box Figure 10 Final phosphorus distribution along the xz plane at the center of the device structure (y=0). Figure 10 shows the final phosphorus distribution along the xz plane at the center of the device structure (y=0). Figure 11 shows the final arsenic distribution along the xy plane at a z-coordinate, which corresponds to 75% of the silicon layer height. Figure 12 shows the final boron distribution along the same plane as in Figure 11. It can be seen clearly that during the annealing process, boron is redistributed in a complex fashion: The arsenic extension implant introduces a large amount of interstitials, which during the annealing diffuse quickly to the surface where they recombine. As boron diffuses only as boron interstitial pairs, boron is transferred to the surface in this process. Due to a small volume in the channel fin, a limited amount of boron is available and, therefore, the boron pileup at the surface in the extension area is accompanied by 0.02 Left Gate Figure 11 Final arsenic distribution along the xy plane at a z-coordinate, which corresponds to 75% of the silicon layer height Figure 12 Final boron distribution along the same plane as in Figure Contact Formation 0 Right Gate Fin Left Gate Final Boron [cm -3 ] Extension 4.0e e+18 Finally, the contact areas are etched free from the screening oxide (deposited in Steps 5.1 and 6.1) and electrical contacts are defined for use in the device simulation. General simulation setup This section describes the tool flow of the Sentaurus Workbench project. For each tool, the associated Sentaurus Workbench input parameters and the extracted parameters are discussed. Sentaurus Structure Editor 8.0e e e e e e e+18 The tool sequence for this project starts with Sentaurus Structure Editor (in process emulation mode), which generates the geometry of the Omega FinFET devices. 6 Copyright 2007 Synopsys, Inc. All rights reserved.

7 During the process flow execution, intermediate structures are saved for subsequent use by Sentaurus Process. Sentaurus Process Sentaurus Process loads the intermediate geometries generated by Sentaurus Structure Editor and performs the implantation and diffusion steps associated with each intermediate structure. Alternatively, all process simulations can be omitted by setting the following Sentaurus Workbench parameter: Implant_Diffuse = simulated analytic defines whether implantation and diffusion steps are simulated with Sentaurus Process or if analytic profiles are defined in Sentaurus Process. Sentaurus Structure Editor The subsequent instance of Sentaurus Structure Editor finalizes the device structure for simulation with Sentaurus Device. Contacts are assigned and the structure is remeshed with Noffset3D. Sentaurus Device Sentaurus Device simulates I d V gs curves for a low-drain bias and high-drain bias. The simulation can be performed using quantum or classical transport. The specific simulation is selected by setting the following Sentaurus Workbench parameters: Models = Quantum Classic selects if quantum or classical transport simulations are performed. Vdd [V] defines the supply voltage. Here, it is set to 1.0. Vds [V] defines the drain bias for the I d V gs sweep. Here, it is set to 0.05 and 1.0. IdVg = 0 1 is a logical flag. The I d V gs sweep is performed only if the flag is 1. Inspect Inspect plots the I d V gs characteristics and extracts: Vtgm [V]: Threshold voltage defined as the intersection of the tangent at the maximum g m with the V gs axis. Vti [V]: Threshold voltage defined as V gs at which I d = 100 na. Imax [A]: I d at V ds = Vds and V gs = Vdd. I0 [A]: I d at V ds = Vds and V gs =10mV. SS [mv/decade]: Subthreshold swing. gm [S]: Maximum transconductance. Ion [A/μm]: Imax/50 nm. Ioff [A/μm]: I0/50 nm. Tool-specific setups Sentaurus Structure Editor Process emulation mode All geometry-altering process steps (that is, Steps 1 6, 8, and 10) are modeled by Sentaurus Structure Editor in process emulation mode. In this mode, the input commands are given in a process-oriented language. For example, the command that executes the gate oxide deposition (Step 5.1) is: (sdepe:depo "material" "Oxide" "thickness" (* 2 nm)) and the commands that execute the gate definition (Steps 5.3 and 5.5) are: (sdepe:generate-mask "POL" (list (list 0 (* -72 nm) (* 22.5 nm) (* 120 nm)))) (sdepe:pattern "mask" "POL" "polarity" "light" "material" "Resist" "thickness" (* 50 nm)) (sdepe:etch-material "material" "PolySi" "depth" (* 66 nm) "overetch" (* 10 nm) "type" "iso" "algorithm" "lopx") Sentaurus Structure Editor is built around the powerful ACIS solid geometry modeling kernel, which is used in many professional CAD applications for various industries. The tremendous advantage in using ACIS solid modeling to model geometry-altering processing steps in 3D is that ACIS provides an optimized data structure for representing complex geometries. For example, curved boundaries are described as continuous analytic surfaces rather than discretized polyhedral surfaces. Sentaurus Structure Editor also allows users to take very detailed control of the geometry. For example, the rounding of the fin edges can be set explicitly. The motivation for this is: During real processing, shape corners tend to be rounded off (see, for example, the TEM graphs in the literature [2][3]). These rounding effects have a considerable influence on the electrical characteristics of the final device, because they alter the electrical field distribution and strength in the corner regions, which form parasitic edge transistors (see Results and discussion on page 10). The influence of these rounded edges can be studied precisely by explicitly selecting the rounding radius of the channel fin edges and using the fillet-edges operation of Sentaurus Structure Editor. Here, a radius of 20% of the channel width is used. Copyright 2007 Synopsys, Inc. All rights reserved. 7

8 Paint-by-numbers scheme The key element of the automated interface between Sentaurus Structure Editor and Sentaurus Process is the paint-by-numbers scheme, which for this example works as follows. Sentaurus Structure Editor saves snapshots of the device structure during the execution of the process flow for Sentaurus Process to perform the dopant-related processing steps. For the example discussed here, after the creation of the extension spacer (Step 6), a snapshot labeled ET of the structure is saved. After the creation of the source/drain spacer (Step 8), a second snapshot labeled SDI is saved. For example, the Sentaurus Structure Editor commands for saving the ET snapshot are: (sdepe:fill-device "material" "Gas" "height" gas) (part:save "n@node@_et.sat") (sdepe:strip-material "Gas") The first command adds a gas region, which is required for Sentaurus Process. The second command saves the snapshot, and the final command removes the gas region for further processing within Sentaurus Structure Editor. At the end of the flow, Sentaurus Structure Editor takes both snapshots and creates a union structure, which contains the union of all regions in both structures. The union structure is created with the commands: (sdesp:begin) (sdesp:define-step "ET" "n@node@_et.sat") (sdesp:define-step "SDI" "n@node@_sdi.sat") (sdeio:save-dfise-bnd (get-body-list) "n@node@_msh.bnd") (sdesp:finalize "n@node@_sprocess_sde.tcl") The first four commands generate the union structure and the last command creates the paint-by-numbers table, which lists all regions in the union structure, including intermediate regions resulting from the overlaying of the two snapshots. The paint-by-numbers table lists, for each snapshot, which material properties are to be assigned to each region in order to recover the device structure of the respective snapshot. For example, to recover the ET snapshot, the region corresponding to the source/drain spacer region is assigned the material property Gas, that is, it is transparent for the purpose of the extension implant. Sentaurus Process loads the union structure as well as the paint-by-numbers table using: source n@previous@_sprocess_sde.tcl init bnd=n@previous@_msh info=2 It then discretizes the union structure and creates a finiteelement mesh for the simulation of implantation and diffusion, using the internal meshing engine MGOALS. Figure 13 on page 9 shows the mesh created by MGOALS. Sentaurus Process recreates the device structure after the creation of the extension spacer by applying the material settings specified in the paint-by-numbers table for the ET snapshot. This is performed with a single, concise command: recreate_step ET Similarly, the device structure is switched to geometry after the formation of the source/drain spacer by applying the material settings specified in the paint-by-numbers table for the SDI snapshot: recreate_step SDI Another advantage of this approach is that the 3D mesh is created only once during the entire process. This is economical because it avoids the necessity to create the CPU-intensive 3D mesh repeatedly after each geometryaltering processing step. It also improves accuracy because it avoids inherent interpolation errors when mapping doping profiles from one mesh to the next. For example, here, the mesh remains unchanged when switching from the ET to the SDI snapshot. Note that Sentaurus Structure Editor is extremely efficient in modeling the geometry-altering processing steps. The modeling of the 3D geometry through the entire process flow as described in Process flow on page 3 takes less than 2 minutes on a standard desktop running under Linux. The creation of a single 3D mesh for a complex device structure can take considerably longer than that. For example, for the FinFET discussed here, MGOALS needed approximately 5 minutes to create a high-quality finite-element mesh. Common parameterization variables In the Sentaurus Workbench project, the process flow is executed by a sequence of three tool instances (Sentaurus Structure Editor Sentaurus Process Sentaurus Structure Editor). All three tool instances share the definitions of parameterization variables. To access the definitions of these variables, select in the tool row of the Family Tree view the icon of the first instance of Sentaurus Structure Editor, and right-click. From the shortcut menu, select Edit Input > Parameter. The text editor opens with the content of the file sde.par. The structure of the parameter file is: <name> <value> <unit> [<comment>] where <name> is the name of a parameter variable and <value> is the numeric value that is assigned to it. <unit> can be one of the following: micrometer (um), nanometer (nm), ångström (A), unitless, or all other units (1). Comments are optional. Lines that start with a semicolon (;) are considered comment lines. Blank lines are permissible. As an example, a subset of the parameter definitions used in Sentaurus Workbench is given here: ; Layer Thicknesses Tsub 80 nm Substrate 8 Copyright 2007 Synopsys, Inc. All rights reserved.

9 Tbox 80 nm Tsi 50 nm Tox 20 A Burried Oxide Si layer Gate Oxide completed in approximately 1.5 hours on a 2.2 GHz AMD Opteron machine under Linux, using the iterative solver ILS. ; Litho patameters LW 45 nm Lithographic Linewidth ; Simulation Domain max 0.12 um max 0.12 um ;- Omega rounding radius factor (fraction of Tnim) Rtop Rbot The parameter settings are loaded into Sentaurus Structure Editor with: (load "GEO_lib.scm") (sdemp:setunit "nm") ; Load Geometrical Parameters (define ParList (read-sp-par-file "pp@node@_dvs.par")) (map sde:define-parameter (car ParList) (cadr ParList)) The macro library GEO_lib.scm provides the support for units as well as the parameter reader read-sp-parfile. The last Scheme command map sde:defineparameter activates the parameters on the local name space. For Sentaurus Process, the corresponding procedure is: set Unit "um" set INPUTpar "pp@previous@_dvs.par" source readinput.tcl NOTE It is not necessary to declare the parameters explicitly in the Sentaurus Structure Editor or Sentaurus Process input files. In addition, this feature relies on the local files gtooldb.tcl, GEO_lib.scm, readinput.tcl, and sde.par. Figure 13 Device structure with MGOALS mesh used by Sentaurus Process; the mesh has approximately nodes. Device generation using Sentaurus Structure Editor and Noffset3D In modern, deep submicron, MOS-type device structures, the channel gate oxide interface must be resolved to a very high level of accuracy. The finite-element mesh must resolve the very steep gradients of the inversion layer. In addition, for the accurate modeling of quantization effects, it is necessary to resolve the silicon oxide interface on the silicon side with a mesh spacing in the normal direction of the order of 1 Å or 2 Å. (With the latest implementation of the density gradient model, it is no longer necessary to have a fine mesh on the oxide side of the interface in order to obtain accurate size quantization results.) Ideally, the mesh elements at the interface should be aligned with the boundary, that is, the element faces should be parallel or orthogonal to the boundary. For nonplanar, curved interfaces, this is not a trivial task. However, the Sentaurus meshing engine Noffset3D can generate such meshes. Noffset3D is used to remesh the Omega FinFET for the device simulations. Figure 14 shows the mesh created by Noffset3D. Sentaurus Process Sentaurus Process is designed as a simulator that works independently of the dimensionality of the structures to be simulated. Therefore, model and parameter settings, as well as the processing-step definitions, are the same for 1D, 2D, and 3D simulations. For the 3D Omega FinFET simulated here, the analytic implantation model is used. For the point defects, the plus one model is activated. For the activation, the solid solubility model is used. To capture the influence of the nonequilibrium point-defect concentrations on the diffusivity of the dopants (here, phosphorus, arsenic, and boron), the advanced pair-diffusion model is used. The MGOALS-generated mesh, shown in Figure 13, has approximately nodes. The 3D implantation steps require about 30 minutes and the final RTA simulation is Copyright 2007 Synopsys, Inc. All rights reserved. Figure 14 Detail of the Noffset3D mesh used by Sentaurus Device. The mesh has approximately nodes. The slice shows the boundaryconforming mesh along the center plane of the device (x=0). 9

10 Noffset3D is called from within Sentaurus Structure Editor with: (system:command "noffset3d -F tdr It must be noted that only half of the FinFET structure is created by Sentaurus Structure Editor and meshed with Mesh. It is subsequently reflected about the vertical axis to obtain the full device. The reflection is performed in Sentaurus Structure Editor by a system call to the utility Sentaurus Data Explorer (tdx): (system:command "tdx -mtt -x -ren drain=source n@node@_msh_pof n@node@_msh") The option -x instructs Sentaurus Data Explorer to reflect the device along an axis defined by x = x min. The given half-structure has three contacts (drain, gate, and substrate) that are defined in sde_dvs.cmd. Of these, the gate and substrate contacts touch the axis of reflection and, upon reflection, are extended and thereby preserve their names. However, the drain contact in the reflected half is named drainmirrored by default. This contact is explicitly renamed source with the commandline option -ren of Sentaurus Data Explorer. Device simulation using Sentaurus Device Sentaurus Device is well known for its robustness, and robustness is particularly important when simulating modern, deep submicron, MOS-type devices, where a very advanced set of transport models must be used. For example, for the Omega FinFET structure considered here, the body may not be fully depleted. Therefore, the continuity equations for both electrons and holes must be solved simultaneously. The very short gate length of 25 nm mandates the use of the hydrodynamic transport model. Further, the thin oxide thickness (2 nm) and relatively high body doping level (~5 x cm 3 ) require the consideration of quantization effects. Here, the advanced quantization model (density gradient model) is used. Unlike other approaches to model quantization effects such as 1D Poisson Schrödinger and the modified local-density approximation (MLDA), the density gradient model is also applicable to nonplanar 3D structures. Within the density gradient model, an additional partial differential equation is solved to determine the effective quantum potential. For the 3D FinFET, Sentaurus Device solves self-consistently five partial differential equations (Poisson equation, electron and hole continuity equations, electron energy balance equation, and the quantum potential equation). et for the structure with approximately nodes, the run-time for an I d V gs sweep remains reasonable (2 hours for the low-drain bias sweep and 3 hours for the high-drain bias sweep on a 2.2 GHz AMD Opteron machine under Linux, using the iterative solver ILS). Extraction and visualization with Inspect In the Sentaurus Workbench tool flow, Sentaurus Device is followed by the visualization tool Inspect, which plots the corresponding I V characteristics and extracts relevant electrical parameters as discussed in Inspect on page 7. The extraction setup used here is similar to the one discussed in Sentaurus Technology Template: CMOS Characterization. Refer to the documentation for that project for details about visualization and parameter extraction. Results and discussion Figure 15 shows the electron concentration at four cross sections of the fin for the bias point V gs = V ds = 1 V. It can be seen that the carrier concentration is not uniform along the perimeter of the fin (channel). The highest concentrations are found near the four corners of the fin. For this reason, an accurate control of the shape of the fin corners is important for the accurate modeling of Omega FinFETs. The slice at the drain side (12.5 nm) shows a reduced electron concentration due to the pinch-off. 25 nm Electron Density [cm-3] 12.5 nm 0 nm 1.5e nm 1.1e nm 7.7e e+18 Figure 15 Electron concentration at four cross sections of the fin for the bias point V gs = V ds = 1 V. Slices are taken at the middle of the channel (0 nm), at the edges of the gate ( ± 12.5 nm), and inside the extension area ( 25 nm). ± Figure 16 on page 11 shows a two-dimensional cut of the electron distribution at the center of the device from source to drain at the bias point V gs = V ds = 1 V. The top graphic shows the results of a quantum transport simulation (that is, with the density gradient model), while the lower graphic shows the corresponding classical results. It can be seen clearly that the peak of the electron distribution for the quantum transport simulation occurs approximately 7 Å away from the silicon oxide interface, while for the classical simulation, the peak is at the interface. This is the result of the quantum-mechanical size quantization in the high transversal field of the channel. 10 Copyright 2007 Synopsys, Inc. All rights reserved.

11 QT Source Channel Figure 16 Electron concentration in the FinFET for the bias point V gs = V ds = 1 V for a cut along the center plane (y=0) from source to drain; (top) quantum transport and (bottom) classical transport. Figure 17 shows the low-drain and high-drain bias I d V gs as simulated with Sentaurus Device. The resulting key electrical parameters are mostly similar to the experimental values reported in the literature [2]: Threshold voltage V t = 318 mv I on = 995 μa/μm I off = 5 na/μm DIBL = 66 mv/v Cl Electron Density [cm -3 ] 1.2e e e e Subthreshold swing = 71 mv/decade (Following [2], the drain currents were scaled with the silicon layer thickness of 50 nm and not with the fin circumference.) Current [A] Figure 17 current as function of gate voltage for a drain bias of 50 mv (blue) and 1 V (red). Solid lines are quantum transport (including the density gradient model) and dashed lines are classical transport. References QT 50 mv QT 1 V Cl 50 mv Cl 1 V Gate Voltage [V] [1] The process flow considered does not contain an oxidation step. See Sentaurus Suite of TCAD Products in Version , TCAD News, October 2005, for a discussion on simulation of 3D oxidation with Sentaurus Process. [2] F.-L. ang et al., 25 nm CMOS Omega FETs, in IEDM Technical Digest, San Francisco, CA, USA, pp , December [3] F.-L. ang et al., 35nm CMOS FinFETs, in Symposium on VLSI Technology, Honolulu, HI, USA, pp , June The dashed lines in Figure 17 show the corresponding results of a classical transport simulation (that is, omitting the density gradient model). It is clear that quantum effects lead to a threshold voltage shift of 60 mv. For this device, this corresponds to 20% of the threshold voltage, underscoring the importance of quantum transport simulations for modern CMOS devices. When using analytic doping profiles, the threshold voltage is 20% lower compared to runs based on simulated profiles, because the effects of boron redistribution as discussed at the end of Process flow on page 3 are neglected. Copyright 2007 Synopsys, Inc. All rights reserved. 11

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL 97 APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL INTRODUCTION TCAD (Technology Computer Aided Design) is a technology that solves the equations representing the manufacturing process of Large Scale Integration

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

3D Detector Simulation with Synopsys TCAD

3D Detector Simulation with Synopsys TCAD Journée de la simulation 17/6/2013 3D Detector Simulation with Synopsys TCAD V. Gkougkousis1,2, A. Lounis 1,2, N. Dinu 1, A. Bassalat 1,3 1. Laboratoire de L'accélérateur Linéaire 2. Université Paris-SUD

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc.

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc. Application Note LDMOS Device and Process Simulation Updated 2016.3 Crosslight Software Inc. Abstract--A technology template for the process and device simulation of a lateral double-diffused MOS (LDMOS)

More information

Guide to Using TCAD with Examples

Guide to Using TCAD with Examples Guide to Using TCAD with Examples Silvaco 4701 Patrick Henry Drive, Bldg. 6 Santa Clara, CA 95054 Telephone (408) 567-1000 Internet: www.silvaco.com February 4, 2009 SILVACO Page 1 Guide to Using TCAD

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Automatic Device Design Optimization with TCAD Frameworks

Automatic Device Design Optimization with TCAD Frameworks Automatic Device Design Optimization with TCAD Frameworks M. Stockinger and S. Selberherr Institute for Microelectronics, TU Vienna Gusshausstrasse 27-29, A-040 Vienna, Austria, selberherr@tuwien.ac.at

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 TSUPREM-4 can be used for predicting the characteristics of piezoresistors created by doping of silicon. However, some care must be taken

More information

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Analysis of a silicon piezoresistive pressure sensor

Analysis of a silicon piezoresistive pressure sensor Analysis of a silicon piezoresistive pressure sensor This lab uses the general purpose finite element solver COMSOL to determine the stress in the resistors in a silicon piezoresistive pressure sensor

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER FACULTY OF ENGINEERING LAB SHEET EOP3036 Fabrication and Packaging Technology TRIMESTER 2 2017-2018 FP2 Simulation of fabrication processes and modelling of photodiodes Notes: 1. Maximum of TWO students

More information

CST EM STUDIO 3D EM FOR STATICS AND LOW FREQUENCIES TUTORIALS

CST EM STUDIO 3D EM FOR STATICS AND LOW FREQUENCIES TUTORIALS CST EM STUDIO 3D EM FOR STATICS AND LOW FREQUENCIES TUTORIALS CST STUDIO SUITE 2006 Copyright 2002-2005 CST GmbH Computer Simulation Technology All rights reserved. Information in this document is subject

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters 1: Measurement and Extraction of BSIM4 Model Parameters The following section summarizes some aspects of UCB s BSIM4 Model and Agilent s IC-CAP Modeling Package to measure and extract BSIM4 model parameters.

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Best Practices: Volume Meshing Kynan Maley

Best Practices: Volume Meshing Kynan Maley Best Practices: Volume Meshing Kynan Maley Volume Meshing Volume meshing is the basic tool that allows the creation of the space discretization needed to solve most of the CAE equations for: CFD Stress

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Giovanni Betti Beneventi

Giovanni Betti Beneventi Technology Computer Aided Design (TCAD) Laboratory Lecture 2, Overview of Synopsys Sentaurus TCAD Giovanni Betti Beneventi E-mail: gbbeneventi@arces.unibo.it ; giobettibeneventi@gmail.com Office: Engineering

More information

µ = Pa s m 3 The Reynolds number based on hydraulic diameter, D h = 2W h/(w + h) = 3.2 mm for the main inlet duct is = 359

µ = Pa s m 3 The Reynolds number based on hydraulic diameter, D h = 2W h/(w + h) = 3.2 mm for the main inlet duct is = 359 Laminar Mixer Tutorial for STAR-CCM+ ME 448/548 March 30, 2014 Gerald Recktenwald gerry@pdx.edu 1 Overview Imagine that you are part of a team developing a medical diagnostic device. The device has a millimeter

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Luminous. Optoelectronic Device Simulator 4/15/05

Luminous. Optoelectronic Device Simulator 4/15/05 Optoelectronic Device Simulator 4/15/05 Contents Overview Key Benefits Applications Charge Coupled Devices (CCDs) Separate Absorption Multiplication (SAM) reach through avalanche photo detectors High speed

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate length Gate oxide A good reference is http://jas2.eng.buffalo.edu/applets/education/fab/nmos/nmos.html

More information

ANSYS AIM Tutorial Structural Analysis of a Plate with Hole

ANSYS AIM Tutorial Structural Analysis of a Plate with Hole ANSYS AIM Tutorial Structural Analysis of a Plate with Hole Author(s): Sebastian Vecchi, ANSYS Created using ANSYS AIM 18.1 Problem Specification Pre-Analysis & Start Up Analytical vs. Numerical Approaches

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc.

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc. 2/3D Simulation of High Voltage MOSFET Copyright 2008 Crosslight Software Inc. www.crosslight.com 1 2 Contents Overview of CSuprem/Apsys models Process simulation Breakdown trend of a 300V LDMOS 3D Simulation

More information

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.260 ISSN(Online) 2233-4866 Process Variation on Arch-structured Gate

More information

Introduction to Layout design

Introduction to Layout design Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: http://www.mosis.org/ 1 Introduction to

More information

ANSYS AIM Tutorial Stepped Shaft in Axial Tension

ANSYS AIM Tutorial Stepped Shaft in Axial Tension ANSYS AIM Tutorial Stepped Shaft in Axial Tension Author(s): Sebastian Vecchi, ANSYS Created using ANSYS AIM 18.1 Contents: Problem Specification 3 Learning Goals 4 Pre-Analysis & Start Up 5 Calculation

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Difficult Challenges 65 nm, Through 2007... 2 Difficult Challenges

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Metrics Tutorial. Table of Contents. 1. Introduction

Metrics Tutorial. Table of Contents. 1. Introduction Metrics Tutorial Table of Contents 1. Introduction 2. The Set-Up Editor 3. The Project File Manager 4. Data Windows 5. Plot Windows 6. Exporting and Importing Data 7. Linking Metrics and Excel 1. Introduction

More information

Step 1: Problem Type Specification. (1) Open COMSOL Multiphysics 4.1. (2) Under Select Space Dimension tab, select 2D Axisymmetric.

Step 1: Problem Type Specification. (1) Open COMSOL Multiphysics 4.1. (2) Under Select Space Dimension tab, select 2D Axisymmetric. Step 1: Problem Type Specification (1) Open COMSOL Multiphysics 4.1. (2) Under Select Space Dimension tab, select 2D Axisymmetric. (3) Click on blue arrow next to Select Space Dimension title. (4) Click

More information

Metafor FE Software. 2. Operator split. 4. Rezoning methods 5. Contact with friction

Metafor FE Software. 2. Operator split. 4. Rezoning methods 5. Contact with friction ALE simulations ua sus using Metafor eao 1. Introduction 2. Operator split 3. Convection schemes 4. Rezoning methods 5. Contact with friction 1 Introduction EULERIAN FORMALISM Undistorted mesh Ideal for

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

Both equations are solved using a finite differences (iterative relaxation) method, which takes some time to converge.

Both equations are solved using a finite differences (iterative relaxation) method, which takes some time to converge. WEIGHTFIELD 2D Silicon Strip Detector Simulation V0.04 14 November 2011 markus.friedl@oeaw.ac.at Abstract WEIGHTFIELD is a program that allows simulating a silicon strip detector in two dimensions (crosssection).

More information

3D modeling of dual-gate FinFET

3D modeling of dual-gate FinFET Mil shtein et al. Nanoscale Research Letters 2012, 7:625 NANO EXPRESS 3D modeling of dual-gate FinFET Samson Mil shtein *, Lalitha Devarakonda, Brian Zanchi and John Palma Open Access Abstract The tendency

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Learning Module 8 Shape Optimization

Learning Module 8 Shape Optimization Learning Module 8 Shape Optimization What is a Learning Module? Title Page Guide A Learning Module (LM) is a structured, concise, and self-sufficient learning resource. An LM provides the learner with

More information

Recent Enhancements in BSIM6 and BSIM-CMG model

Recent Enhancements in BSIM6 and BSIM-CMG model Recent Enhancements in BSIM6 and BSIM-CMG model Yogesh S. Chauhan*, Juan P. Duarte, Harshit Agarwal*, Sourabh Khandelwal, Chenming Hu *IIT Kanpur, India University of California, Berkeley MOS-AK Berkeley

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Solidworks 2006 Surface-modeling

Solidworks 2006 Surface-modeling Solidworks 2006 Surface-modeling (Tutorial 2-Mouse) Surface-modeling Solid-modeling A- 1 Assembly Design Design with a Master Model Surface-modeling Tutorial 2A Import 2D outline drawing into Solidworks2006

More information

Free Convection Cookbook for StarCCM+

Free Convection Cookbook for StarCCM+ ME 448/548 February 28, 2012 Free Convection Cookbook for StarCCM+ Gerald Recktenwald gerry@me.pdx.edu 1 Overview Figure 1 depicts a two-dimensional fluid domain bounded by a cylinder of diameter D. Inside

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

VBIT COURSE MATERIAL VLSI DESIGN

VBIT COURSE MATERIAL VLSI DESIGN UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2μm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS

More information

CoventorWare 10 Overview

CoventorWare 10 Overview CoventorWare 10 Overview 노용주 이디앤씨 June, 2015 Distributed and supported by ED&C CoventorWare: Coventor s MEMS Multi-Physics Platform for 10+ Years Sensing Mechanical Electrical Transduction Accelerometers

More information

Aerodynamic Study of a Realistic Car W. TOUGERON

Aerodynamic Study of a Realistic Car W. TOUGERON Aerodynamic Study of a Realistic Car W. TOUGERON Tougeron CFD Engineer 2016 Abstract This document presents an aerodynamic CFD study of a realistic car geometry. The aim is to demonstrate the efficiency

More information

CHAPTER 4. Numerical Models. descriptions of the boundary conditions, element types, validation, and the force

CHAPTER 4. Numerical Models. descriptions of the boundary conditions, element types, validation, and the force CHAPTER 4 Numerical Models This chapter presents the development of numerical models for sandwich beams/plates subjected to four-point bending and the hydromat test system. Detailed descriptions of the

More information

Bulk MEMS Layout 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage:

Bulk MEMS Layout 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Layout 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY Jeong Hwan Song CONTENTS Introduction of light waveguides Principals Types / materials Si photonics Interface design between optical fiber

More information

FDM Lightweight Structures DESIGN GUIDE

FDM Lightweight Structures DESIGN GUIDE FDM Lightweight Structures THE 3D PRINTING SOLUTIONS COMPANY FDM Lightweight Structures Many aerospace and automotive applications require parts with a very high stiffness-to-weight ratio. Because of the

More information

QUESTION BANK DEPARTMENT OF ECE

QUESTION BANK DEPARTMENT OF ECE QUESTION BANK DEPARTMENT OF ECE YEAR: III SEM: VI SUBJECTCODE:EC2354 SUBJECT NAME:VLSI DESIGN Prepared by V.GUNASUNDARI/AP 1.List the advantages of SOI CMOS process Denser transistor structures are possible.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1 US 2013 0082320A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2013/0082320 A1 Alberhasky et al. (43) Pub. Date: Apr. 4, 2013 (54) STRAPPED DUAL-GATE VDMOS DEVICE (52) U.S.

More information

VEECO FPP Point Probe Operating Manual

VEECO FPP Point Probe Operating Manual VEECO FPP-5000 4-Point Probe Operating Manual Version: 1.0 May 2013 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work....2

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

Sheet Metal Overview. Chapter. Chapter Objectives

Sheet Metal Overview. Chapter. Chapter Objectives Chapter 1 Sheet Metal Overview This chapter describes the terminology, design methods, and fundamental tools used in the design of sheet metal parts. Building upon these foundational elements of design,

More information

Tutorial 3. Correlated Random Hydraulic Conductivity Field

Tutorial 3. Correlated Random Hydraulic Conductivity Field Tutorial 3 Correlated Random Hydraulic Conductivity Field Table of Contents Objective. 1 Step-by-Step Procedure... 2 Section 1 Generation of Correlated Random Hydraulic Conductivity Field 2 Step 1: Open

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Lecture 2: Introduction

Lecture 2: Introduction Lecture 2: Introduction v2015.0 Release ANSYS HFSS for Antenna Design 1 2015 ANSYS, Inc. Multiple Advanced Techniques Allow HFSS to Excel at a Wide Variety of Applications Platform Integration and RCS

More information

2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller

2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING 2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

3D MEMS Design Method via SolidWorks

3D MEMS Design Method via SolidWorks Proceedings of the 1st IEEE International Conference on Nano/Micro Engineered and Molecular Systems January 18-21, 2006, Zhuhai, China 3D MEMS Design Method via SolidWorks Changfti Zhang', Zhuangde Jiang

More information

Planarization of Passivation Layers during Manufacturing Processes of Image Sensors

Planarization of Passivation Layers during Manufacturing Processes of Image Sensors Planarization of Passivation Layers during Manufacturing Processes of Image Sensors A. Sheikholeslami 1, F. Parhami 2, H. Puchner 2, and S. Selberherr 1 12.9.2006, NUSOD 2006, Singapore 1 Institute for

More information

3. Preprocessing of ABAQUS/CAE

3. Preprocessing of ABAQUS/CAE 3.1 Create new model database 3. Preprocessing of ABAQUS/CAE A finite element analysis in ABAQUS/CAE starts from create new model database in the toolbar. Then save it with a name user defined. To build

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Introduction to ANSYS DesignModeler

Introduction to ANSYS DesignModeler Lecture 5 Modeling 14. 5 Release Introduction to ANSYS DesignModeler 2012 ANSYS, Inc. November 20, 2012 1 Release 14.5 Preprocessing Workflow Geometry Creation OR Geometry Import Geometry Operations Meshing

More information

Example 24 Spring-back

Example 24 Spring-back Example 24 Spring-back Summary The spring-back simulation of sheet metal bent into a hat-shape is studied. The problem is one of the famous tests from the Numisheet 93. As spring-back is generally a quasi-static

More information

BSIMCMG model parameter extraction. September, 2011

BSIMCMG model parameter extraction. September, 2011 BSIMCMG model parameter extraction September, 2011 Overview BSIMCMG parameter optimization: environment BSIMCMG model UTMOST IV BSIMCMG parameter optimization sequence Basic flow of MOS model parameter

More information

Prime Time (Factors and Multiples)

Prime Time (Factors and Multiples) CONFIDENCE LEVEL: Prime Time Knowledge Map for 6 th Grade Math Prime Time (Factors and Multiples). A factor is a whole numbers that is multiplied by another whole number to get a product. (Ex: x 5 = ;

More information

3D Design with 123D Design

3D Design with 123D Design 3D Design with 123D Design Introduction: 3D Design involves thinking and creating in 3 dimensions. x, y and z axis Working with 123D Design 123D Design is a 3D design software package from Autodesk. A

More information

Contents Contents Creating a Simulation Example: A Dipole Antenna AMDS User s Guide

Contents Contents Creating a Simulation Example: A Dipole Antenna AMDS User s Guide Contents Contents 1 Creating a Simulation 7 Introduction 8 Data Files for Examples 8 Software Organization 9 Constructing the Geometry 10 Creating the Mesh 11 Defining Run Parameters 13 Requesting Results

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Introduction to Solid Modeling Parametric Modeling. Mechanical Engineering Dept.

Introduction to Solid Modeling Parametric Modeling. Mechanical Engineering Dept. Introduction to Solid Modeling Parametric Modeling 1 Why draw 3D Models? 3D models are easier to interpret. Simulation under real-life conditions. Less expensive than building a physical model. 3D models

More information

IX-280-MXF Dual Laser Machining

IX-280-MXF Dual Laser Machining IX-280-MXF Dual Laser Machining Standard Operating Procedure Revision: 1.0 Last Updated: Feb.19/2015, Revised by Mohamad Rezaei Overview This document will provide a detailed operation procedure of the

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

SpaceClaim Professional The Natural 3D Design System. Advanced Technology

SpaceClaim Professional The Natural 3D Design System. Advanced Technology SpaceClaim Professional The Natural 3D Design System SpaceClaim Professional is the 3D productivity tool for engineers who contribute to the design and manufacture of mechanical products across a broad

More information

Computer Vision I. Announcements. Fourier Tansform. Efficient Implementation. Edge and Corner Detection. CSE252A Lecture 13.

Computer Vision I. Announcements. Fourier Tansform. Efficient Implementation. Edge and Corner Detection. CSE252A Lecture 13. Announcements Edge and Corner Detection HW3 assigned CSE252A Lecture 13 Efficient Implementation Both, the Box filter and the Gaussian filter are separable: First convolve each row of input image I with

More information

Data Partitioning. Figure 1-31: Communication Topologies. Regular Partitions

Data Partitioning. Figure 1-31: Communication Topologies. Regular Partitions Data In single-program multiple-data (SPMD) parallel programs, global data is partitioned, with a portion of the data assigned to each processing node. Issues relevant to choosing a partitioning strategy

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Outline. COMSOL Multyphysics: Overview of software package and capabilities

Outline. COMSOL Multyphysics: Overview of software package and capabilities COMSOL Multyphysics: Overview of software package and capabilities Lecture 5 Special Topics: Device Modeling Outline Basic concepts and modeling paradigm Overview of capabilities Steps in setting-up a

More information

3D Finite Element Software for Cracks. Version 3.2. Benchmarks and Validation

3D Finite Element Software for Cracks. Version 3.2. Benchmarks and Validation 3D Finite Element Software for Cracks Version 3.2 Benchmarks and Validation October 217 1965 57 th Court North, Suite 1 Boulder, CO 831 Main: (33) 415-1475 www.questintegrity.com http://www.questintegrity.com/software-products/feacrack

More information

How to Use the Luminit LSD Scatter Model

How to Use the Luminit LSD Scatter Model How to Use the Luminit LSD Scatter Model Summary: This article describes the characteristics and use of Luminit s LSD scatter model in OpticStudio. The scatter model presented here is the idealized scatter

More information