Simulation and Modeling Techniques for Compact LTCC Packages

Size: px
Start display at page:

Download "Simulation and Modeling Techniques for Compact LTCC Packages"

Transcription

1 Simulation and Modeling Techniques for Compact LTCC Packages Ted A. Miracco, Lloyd Nakamura, Malcolm Edwards Applied Wave Research, Inc East Grand Avenue, Ste 430 El Segundo, CA Tel , Fax Abstract LTCC design methodologies using high-frequency Electronic Design Automation (EDA) tools have been a topic of debate among both designers and EDA tool suppliers. Unlike proven design methodologies for printed circuit boards (PCBs) and integrated circuits (ICs), LTCC design poses additional challenges because of the required flexibility in simulation, three dimensional layout and integration. LTCC designers are faced with very dense multilayer modules that require a flexible and configurable layout editor and a combination of simulation technologies to model geometrically complex 3D structures. A typical LTCC design problem is the design of a compact global system for mobile communications/digital communications system (GSM/DCS) diplexer using vertically integrated inductors and capacitors. This design problem illustrates the requirement of tightly integrated electromagnetic (EM) and linear circuit simulators, as the extraneous interactions between inductors, capacitors and interconnect cannot be accounted for using only a linear circuit simulator. EM simulators alone, however, are computationally expensive and completely inadequate for design synthesis, whereas linear simulators can leverage tuning, optimization, and statistical analysis which are critical for most circuit design problems. Therefore, an integrated solution that combines both linear and EM simulation is ideal for this problem. The layout editor for the LTCC design must also be tightly integrated with the simulators as the routing of interconnect in three dimensions is critical in determining the circuit performance. In this paper, a design methodology is presented for a GSM/DCS diplexer design using a highly integrated EDA solution with an architecture that is open to customization for LTCC components. Key words: Electronic Design Automation (EDA), Simulation, Electromagnetic, Layout. Low temperature co-fired ceramic (LTCC) modules are emerging as an important solution for wireless applications based upon competitive advantages in size, cost and time to market [1]. Despite the many advantages, LTCC development is undermined by a variety of unique technical challenges that limit the impact of electronic design automation (EDA) solutions. Traditional EDA tools have typically been hard wired to address either printed circuit board (PCB) design or integrated circuit (IC) design, neither of which provides all the essential features or functionality to be effective in designing LTCCs. Although LTCCs combine some of the characteristics of both dense printed circuit boards (PCBs) and integrated circuits (ICs), effective simulation requires capabilities that go beyond either of these standard design methodologies. Deficiencies in typical EDA solutions for RF module design can generally be classified into three categories: simulation issues, layout issues, and integration issues. The critical requirement for effective LTCC development is an EDA solution that combines the essential simulation technologies with comprehensive and flexible layout capabilities. Some of the issues today that undermine LTCC development are insufficient or inefficient abilities to model the interconnect and associated parasitics related to dense module development. Validity Range of Transmission Line Models Full Wav e Distributed RLGC Distributed RLC Distributed RC Lumped RC Lumped C Frequency Figure 1: Ideally, an EDA solution should provide multiple levels of abstraction for transmission lines such that engineers can trade off accuracy and computational efficiency. Although some frequency-domain and time-domain (SPICE) simulators include transmission line and even coupled transmission line models, the geometric complexity of LTCC layouts ultimately requires planar 3D electromagnetic (EM) simulation in addition to standard models or parasitic extraction

2 methods. It is ideal to have access to multi-level modeling approaches [2] as indicated in Figure 1, such that the user can make trade-offs in simulation accuracy vs. the computational efficiency as a design progresses from concept to final mask generation. Electromagnetic analysis is not only essential to final validation of a design, but ideally can be combined with circuit simulation to provide an interactive design methodology that supports real-time tuning and optimization. In addition, the EDA tools should address the statistical variations and process corners that occur in volume manufacturing of LTCCs. A best case scenario can provide multiple EM solvers that are all integrated with general purpose circuit simulators to eliminate the possibility of error in importing simulation data, and provide a mechanism for easily comparing results from a variety of solvers. To demonstrate the design process we will use a simple passive discontiguous narrowband GSM/DCS diplexer circuit. The LTCC design methodology will be built using a design environment and simulation tools from Applied Wave Research s (AWR TM ) and will leverage interfaces to third-party EM simulation tools, including a parasitic extractor, NetAN TM, from OEA Inc and a planar EM simulator, em, from Sonnet Software. Developing an LTCC Process Design Kit The first step in establishing an LTCC design methodology is development of a process design kit (PDK). PDK development involves building a custom library of electro-physical models supported by the specific process. In addition to processspecific models a customized layers file (LPF) must be created based upon the specific dielectric and metallization layers chosen for the design. The layers file will be utilized by both the simulation and layout tools to synchronize the placement of components within the dielectric stack up, and provides for the ability to easily cut and paste layout geometries into an EM simulator(s) for final verification. One of the many advantages of LTCCs is the ability to create highly compact designs by leveraging the large number of layers at the engineer s disposal. In this case we will select a 14-layer LTCC structure as it will allow sufficient vertical separation to isolate the two filter sections using a ground plane. By effectively isolating the filter sections, we can minimize the extraneous coupling between components and minimize the need for computationally expensive full wave 3D EM analysis. Figure 2: Compact vertical inductors reduce size and cost of LTCC components. User-Defined EM-Based Models/Cells To take full advantage of the LTCC process it is essential to develop a set of custom models for structures that go beyond the standard microstrip, stripline and coplanar waveguide elements in most standard RF EDA solutions. In the case of our GSM/DCS diplexer, we will require compact inductive and capacitive elements that maximize the opportunity of utilizing the Z-plane. While it would be possible to create the two-layer rectangular microstrip inductors that are popular in monolithic microwave integrated circuits (MMICs), it is far more cost effective to build the inductor on successive vertical layers in an LTCC process as seen in Figure 2. The challenge in doing so, of course, is that while many years of research, tests and measurements have been applied to modeling planar GaAs spiral inductors, each LTCC process is unique and foundries must invest in characterization of the vertical spiral structures for engineers to take advantage of the process. In most cases LTCC foundries have utilized either 3D EM simulators or measurements to generate fixed artwork cells that are tied to s-parameter data. However, in the case of the narrowband diplexer, it is highly desirable to have access to accurate continuously scalable parametric models such that the performance can be tuned or optimized for the frequencies of interest. Creating the vertical spiral model is accomplished by first running the AWR Model Wizard application which will automatically generate C++ code based upon a set parameters and a topology specified by the user in the form of a netlist. The resulting compiled model is programmed to leverage a built-in 2D quasistatic EM cross-sectional solver [3] which will

3 0 account for the coupling between all the parallel segments of Comparison of Vertical Spiral Simulations S(1,1) EM Simulation S(1,1) Circuit Simulation S(2,1) EM SImulation S(2,1) Circuit Simulation Swp Max 10GHz Swp Min 0GHz Figure 3: Validation of the vertical inductor model where the circuit based model is compared from DC to 10GHz against a full wave EM simulation using AWR s EMSight solver. the spiral. Upon compilation the user needs to place an independent dynamically linked library (DLL) element into a models directory to gain access to this new element. The key difference between the results is in simulation time. The circuit based model solves in a few seconds while the full wave EM simulation takes approximately 10 minutes for a comparable number of frequency points. Both representations provide consistent results as can be seen in Figure 3 Another element required for the diplexer is a multilayer parallel plate capacitor. The electrical representation, depicted in Figure 4a (below), PORT NVIABR PC1LIN PCLINE CAP Figure 4: A multilayer capacitor can be electrically modeled using a series of standard elements including a quasi static transmission line and coupled transmission line models (4a) and a corresponding compiled parametric layout cell (4b) is used to generate the physical representation. is implemented as a parametric sub-network containing transmission lines and capacitors from the standard element catalog. The corresponding parametric layout cell generator is created using C++ routine that is compiled and loaded into the program with the user defined models. This compiled model library has many advantages for the LTCC foundry and designers including performance, accuracy, distribution and protection of intellectual property (IP). In terms of performance a compiled model will execute more efficiently than an interpreted version of the same model. In addition, because the model is based on EM first principles, it should be more accurate and have greater dynamic range than closed-form equations which are usually based upon a limited data set. An additional benefit of compiled DLLs is distribution, as the files are small enough to be distributed as an attachment and can easily be updated if there are process changes or new models. Lastly, compiled models protect the IP of the model developer as other parties cannot easily decompile the underlying code. NVIABR PCLINE CAP PCLINE CAP NVIABR PC1LIN PORT Implementing the Diplexer Design in LTCC Once the basic building blocks for the design are in place, it is straight forward to move from concept to implementation. The basic element values are determined using a Filter Wizard to establish ideal values for the inductors and capacitors of the two filter networks. Because the diplexer is discontiguous it is not necessary to be overly concerned with the impedances at the junction of the two filters provided the connections to the first resonator are electrically

4 small. The only decision is determining how many filter sections are required to meet the -20 dbm isolation requirements for the diplexer, and, because we can expect some degradation of the design from stray coupling and manufacturing variations, it is prudent to add additional filter sections to provide a sufficient margin for implementation. Figure 5 Layout implementation of GSM filter section using LTCC components. From this point the diplexer is constructed by connecting the ideal filters and analyzing the structure with a linear circuit simulator to determine if it meets all the specifications. Next, the ideal inductors and capacitors are replaced with the LTCC elements, as seen in figure 5, and the parameters are adjusted to match the ideal values from the synthesized networks. Circuit simulation of the LTCC implemented design typically results in some disparity from the ideal model as seen in Figure 6. At this point it is possible to either tune on the element values to restore the correlation of the two structures, or use the optimizer to accomplish the same goal Comparison of Ideal and LTCC Filter with Layout 1.65 GHz db 1.7 GHz db Figure 6 Physical implementation of the LTCC filters results in degradation in transmission and rejection characteristics. Discrete Value Optimization for EM Analysis Full wave EM simulators are required to take into account all of the extraneous coupling between the interconnect and the LTCC cells. Although full wave methods of moment (MoM) simulators are available in both gridded and non gridded formulations it has been determined that the gridded methods have greater accuracy and dynamic range vs. the more geometrically flexible non-gridded approaches [5]. However, optimizers are typically geared to continuous functions. This can result in values that force the user to compromise between either long simulation times that result from a fine grid or inaccurate results stemming from descritization error due to non-conformance of the structure with the EM grid. This problem can be mitigated or completely eliminated by utilizing a discrete value optimization algorithm that will adjust the structure in specific increments that correlate with the selected grid of the EM simulator. Use of this technique significantly reduces the design cycle by cutting the time/em iteration, while dramatically increasing overall confidence in the design process. Space Mapping and Decomposition It is also possible to combine circuit simulation in concert with EM simulation to rapidly assemble the building blocks of the diplexer through a technique called space mapping and decomposition [6]. This approach uses the EM simulation sparingly as a means to calibrate a computationally more efficient circuit based simulation. Applying this approach to the filter designs is best accomplished by breaking the filter designs into physically isolated components and comparing the resulting s-parameters from EM simulations to the circuit based approach. Next, we take the delta between the two sets of s-parameters and cascade it with the circuit simulation results to re-calibrate them to match the EM results. This new circuit based network provides an accurate and efficient means to re-optimize the design for final assembly DB( S(2,1) ) DCS layout DB( S(2,1) ) DCS Frequency (GHz) Final Validation Using EM Socket With the emergence of open computing standards, such as Microsoft s Component Object Model (COM), it is possible to tightly and seamlessly integrate simulators from multiple vendors within a common design environment. The AWR architecture includes a COM-based EM Socket TM interface that acts as a server such that structures can be simulated

5 with third-party solvers in addition to the native EMsolver built into the AWR environment. Figure 7: Electromagnetic simulation of a filter section indicates stray coupling qualitatively, while providing quantitative data for recalibrating the circuit based results for final optimization. Figure 7 Completed layout for compact GSM/DCS diplexer can be validated using a variety of EM solvers accessible through the EM Socket interface. Statistical Design and Process Corners Despite the fact that a complete EM simulation of the entire design structure meets specifications, it is by no means a guarantee the circuit will provide a high yield in a manufacturing environment. To verify that the design is reproducible we must enter the statistical tolerances and distributions for all the underlying parameters in the network and run either a Monte Carlo analysis or corner analysis to see if the design remains within specifications under worst case conditions. It is especially important to consider variations in global parameters such as dielectric constant, layer thickness, and etching tolerance as each of these parameters will affect many components in a correlated manner. This provides a mechanism for engineers to validate results with multiple EM solvers. In the case of the diplexer structure electrically small sections of the filters were analyzed using the EMSight TM MoM LTCC Diplexer DB( S(2,1) ) DB( S(3,1) ) As the individual structures were integrated into larger super-structures it was possible to leverage the EM Socket by choosing the 3D planar em solver from Sonnet Software. By selecting Sonnet as the primary solver, we take advantage of unique features that assist in analyzing the complete diplexer layout. The Sonnet solver includes both a conformal meshing algorithm [7] and an adaptive band synthesis (ABS) algorithm [8] which provides significant speed advantages that facilitate analysis of the entire LTCC structure. The final EM analysis provides for validation of the complete design; however we must still take into account the variations in the manufacturing process Frequency (GHz) Figure 8: Final Validation requires both full wave EM analysis and yield analysis to take into account manufacturing tolerances. Conclusions In this paper we demonstrated the use of a modern EDA architecture to facilitate the analysis of dense LTCC structures. Enabling technology included: an open product architecture that facilitated the creation of a robust LTCC PDK through user defined electrical and physical models; discrete value optimization to efficiently take into account the effects of interconnect and parasitic layout issues with EM simulations and an EM Socket interface to leverage 3 rd party solvers. In addition, we combined simulation technologies through space mapping to optimize the final design using both EM and circuit solvers. Lastly we incorporated the statistical variations of the manufacturing process to verify the manufacturability and yield of the final design.

6 References: [1] James Spoto, "Looking Beyond Monolithic Myopia", IEE Electronics Systems and Software, August/September [2] Francese Moll, "Interconnection Noise in VLSI Circuits", Kluwer Academic Publishers, Boston, Chapter 2, pp , [3] M.B. Bazdar, A.R. Djordjevic, R.F. Harrington, and T.K. Sarkar, "Evaluation of quasi-static matrix parameters for multiconductor transmission lines using Galerkin's method," IEEE Trans. Microwave Theory Tech., vol. MTT-42, July 1994, pp [4] James C. Rautio, Testing Limits of Algorithms Associated with High Frequency Planar Electromagnetic Analysis, presented at the European Microwave Conference, October [5] Martin Versleijen, Design Technology Challenges for RF Modules, presented at the International Microwave Symposium, June [6] John Bandler, Design Optimization of Interdigital Filters Using Aggressive Space Mapping and Decomposition, IEEE Transactions On Microwave Theory And Techniques, Vol. 45, No. 5, May [7] James C. Rautio, A Conformal Mesh for Efficient Planar Electromagnetic Analysis, IEEE Transactions On Microwave Theory And Techniques, January [8] James C. Rautio, EM Approach Sets New Speed Records, Microwaves & RF, May 2002

AWR. White Paper. Exactly How Electromagnetic Should Be Part of a Design Flow! introduction

AWR. White Paper. Exactly How Electromagnetic Should Be Part of a Design Flow! introduction Extract Flow introduction Modern RF/microwave design flows make extensive use of electromagnetic (EM) analysis in many ways, and its co-existence and concurrency with circuit design and analysis can not

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Using Sonnet Interface in Eagleware-Elanix GENESYS. Sonnet Application Note: SAN-205A JULY 2005

Using Sonnet Interface in Eagleware-Elanix GENESYS. Sonnet Application Note: SAN-205A JULY 2005 Using Sonnet Interface in Eagleware-Elanix GENESYS Sonnet Application Note: SAN-205A JULY 2005 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave 3D Planar

More information

Efficient Meshing in Sonnet

Efficient Meshing in Sonnet Efficient Meshing in Sonnet Purpose of this document: In this document, we will discuss efficient meshing in Sonnet, based on a wide variety of application examples. It will be shown how manual changes

More information

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor QUEST 3D RLCG Extraction Depending on Frequency RF Structures Parasitic Extractor Introduction Type of Simulation Inputs / Outputs Graphical Interface Technology Process Layout Field Solver Output DOE

More information

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus EM Analysis of High Frequency Printed Circuit Boards Dr.-Ing. Volker Mühlhaus volker@muehlhaus.com Agenda EM tools overview When to use EM analysis Application examples: Filters The importance of meshing

More information

Introducing Virtuoso RF Designer (RFD) For RFIC Designs

Introducing Virtuoso RF Designer (RFD) For RFIC Designs A seminar on Cadence Virtuoso RF Designer is scheduled for March 5, 2008. To know more, write to Brajesh Heda at brajesh@cadence.com Introducing Virtuoso RF Designer (RFD) For RFIC Designs Introduction

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Application Note Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Abstract: An original parameters extraction strategy has been developed using a physical and scalable 2π equivalent

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

TQPED MMIC Design Training

TQPED MMIC Design Training TQPED MMIC Design Training Outline Installation and Use of the Library AWR AWR Design Kit (PDK Process Design Kit) ICED Layout Kit Create a new document using the Library Environment Setup Hotkeys Background

More information

Sorting Through EM Simulators

Sorting Through EM Simulators DesignFeature DAVE MORRIS Application Engineer Agilent Technologies, Lakeside, Cheadle Royal Business Park, Stockport 3K8 3GR, England; e-mail: david_morris@agilent.com, www.agilent.com. ELECTRONICALLY

More information

Large-Scale Full-Wave Simulation

Large-Scale Full-Wave Simulation Large-Scale Full-Wave Simulation Sharad Kapur and David Long Integrand Software, Inc. Areas of interest Consistent trends in IC design Increasing operating frequencies Modeling of passive structures (components,

More information

Introduction to AWR Design Flow and New Features for V10

Introduction to AWR Design Flow and New Features for V10 Introduction to AWR Design Flow and New Features for V10 What s New In Version 10 imatch Matching Network Synthesis Matching Network Synthesis Tight integration with AWR tools Excellent starting point

More information

SONNET USER S GUIDE RELEASE 11

SONNET USER S GUIDE RELEASE 11 SONNET USER S GUIDE RELEASE 11 Cover: James Clerk Maxwell (1831-1879). A professor at Cambridge University, England, Maxwell established the interdependence of electricity and magnetism. In his classic

More information

Chapter 4 Determining Cell Size

Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size The third tutorial is designed to give you a demonstration in using the Cell Size Calculator to obtain the optimal cell size for your circuit

More information

The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics Leung Wing Yan Kitty Sept. 15, 2001

The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics Leung Wing Yan Kitty Sept. 15, 2001 ADS Application Notes Microwave Laboratory, Department of Electronic Engineering The Chinese University of Hong Kong The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics

More information

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Create the following schematics in Figure 1 with Genesys s schematic editor, which depicts two sections of a cascaded microstrip

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic-

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic- From January 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1 By John Dunn AWR Corporation Only 30

More information

IN RECENT years, neural network techniques have been recognized

IN RECENT years, neural network techniques have been recognized IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 4, APRIL 2008 867 Neural Network Inverse Modeling and Applications to Microwave Filter Design Humayun Kabir, Student Member, IEEE, Ying

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Sonnet User s Guide Release 10

Sonnet User s Guide Release 10 Sonnet User s Guide Release 10 Cover: James Clerk Maxwell (1831-1879). A professor at Cambridge University, England, Maxwell established the interdependence of electricity and magnetism. In his classic

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

Simulation Advances for RF, Microwave and Antenna Applications

Simulation Advances for RF, Microwave and Antenna Applications Simulation Advances for RF, Microwave and Antenna Applications Bill McGinn Application Engineer 1 Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving:

More information

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc.

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. 1 ANSYS, Inc. September 21, Advanced Solvers: Finite Arrays with DDM 2 ANSYS, Inc. September 21, Finite Arrays

More information

Optimization of Via Connections between Transmission Lines in Multilayer LTCC- Modules

Optimization of Via Connections between Transmission Lines in Multilayer LTCC- Modules Optimization of Via onnections between Transmission Lines in Multilayer LT-Modules Optimization of Via onnections between Transmission Lines in Multilayer LT- Modules Torsten Thelemann, Heiko Thust, and

More information

At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most

At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most 14.52 Rev 1.0 At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most accurate commercial planar EM analysis

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM 1 SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD- Introduction Medical Implanted Communication Service (MICS) has received a lot of attention recently. The MICS

More information

Microwave Office Getting Started Guide

Microwave Office Getting Started Guide v14.01 Microwave Office Getting Started Guide ni.com/awr Microwave Office Getting Started Guide NI AWR Design Environment v14.01 Edition 1960 E. Grand Avenue, Suite 430 El Segundo, CA 90245 USA Phone:

More information

AXIEM EM Simulation/Verification of a Cadence Allegro PCB

AXIEM EM Simulation/Verification of a Cadence Allegro PCB Application Example AXIEM EM Simulation/Verification of a Cadence Allegro PCB Overview This application example outlines the electromagnetic (EM) simulation and verification flow that exists between Cadence

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

VERY FAST SIMULATION STRATEGY (VFSS) DEVELOPED WITHIN CODESTAR PROJECT

VERY FAST SIMULATION STRATEGY (VFSS) DEVELOPED WITHIN CODESTAR PROJECT VERY FAST SIMULATION STRATEGY (VFSS) DEVELOPED WITHIN CODESTAR PROJECT Daniel Ioan and Gabriela Ciuprina. Univ. Politehnica Bucharest (lmn@lmn.pub.ro), Laboratorul de Metode Numerice (LMN) Abstract An

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

A comprehensive workflow and methodology for parasitic extraction

A comprehensive workflow and methodology for parasitic extraction A comprehensive workflow and methodology for parasitic extraction Radoslav Prahov, Achim Graupner Abstract: In this paper is presented, analysed and assessed a design automation methodology of a tool employed

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Miniature Ceramic Thin Film Filters

Miniature Ceramic Thin Film Filters Miniature Ceramic Thin Film Filters Gavin A. Ripley Principal RF Engineer (BSC Filters ltd.) Abstract This presentation describes the design and manufacture of surface mount miniaturised filters offering

More information

Agilent EEsof EDA.

Agilent EEsof EDA. Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS TABLE OF CONTENTS Introduction.................................................................................. 1 Design

More information

Achieve more with light.

Achieve more with light. Achieve more with light. Comprehensive suite of leading photonic design tools. Component Design Multiphysics Component Design Lumerical s highly integrated suite of component design tools is purposebuilt

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

RAFT Tuner Design for Mobile Phones

RAFT Tuner Design for Mobile Phones RAFT Tuner Design for Mobile Phones Paratek Microwave Inc March 2009 1 RAFT General Description...3 1.1 RAFT Theory of Operation...3 1.2 Hardware Interface...5 1.3 Software Requirements...5 2 RAFT Design

More information

New paradigm for MEMS+IC Co-development

New paradigm for MEMS+IC Co-development New paradigm for MEMS+IC Co-development MEMS 진보된스마트세상을만듭니다. Worldwide First MEMS+IC Co-development Solution New paradigm for MEMS+IC Co-development A New Paradigm for MEMS+IC Development MEMS design

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

MAX2009/MAX2010 Evaluation Kits

MAX2009/MAX2010 Evaluation Kits 19-2972; Rev 0; 9/03 MAX2009/MAX2010 Evaluation Kits General Description The MAX2009/MAX2010 evaluation kits (EV kits) simplify the evaluation of the MAX2009 and MAX2010. These kits are fully assembled

More information

EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH

EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH A.B Gurulakshmi 1 and Dr. N. Suresh Kumar 2 1 Department of Electronics and Communication Engineering,Vickram College

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Sonnet is based in Syracuse, NY, USA with representatives across the globe.

Sonnet is based in Syracuse, NY, USA with representatives across the globe. Getting Started At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most accurate commercial planar EM analysis

More information

Lecture 2: Introduction

Lecture 2: Introduction Lecture 2: Introduction v2015.0 Release ANSYS HFSS for Antenna Design 1 2015 ANSYS, Inc. Multiple Advanced Techniques Allow HFSS to Excel at a Wide Variety of Applications Platform Integration and RCS

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Battery Stack Management Makes another Leap Forward

Battery Stack Management Makes another Leap Forward Battery Stack Management Makes another Leap Forward By Greg Zimmer Sr. Product Marketing Engineer, Signal Conditioning Products Linear Technology Corp. Any doubts about the viability of electric vehicles

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Yao-Jiang Zhang, Jun Fan and James L. Drewniak Electromagnetic Compatibility (EMC) Laboratory, Missouri University of Science &Technology

More information

Passive MMIC 60GHz Equalizer

Passive MMIC 60GHz Equalizer Page 1 The is a passive MMIC equalizer. It is a positive gain slope equalizer designed to pass DC to 60GHz. Equalization can be applied to reduce low pass filtering effects in both RF/microwave and high

More information

Introduction to EMIIEMC Computational Modeling

Introduction to EMIIEMC Computational Modeling Appendix A Introduction to EMIIEMC Computational Modeling A.I Introduction The subject of EMI modeling is beginning to appear in the technical literature with increasing frequency. Most articles identify

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

Decoupling Solutions

Decoupling Solutions Decoupling Solutions Michael Randall, Bill Sloka, Mark Laps, Garry Renner, John Prymak, Peter Blais, Aziz Tajuddin KEMET Electronics Corporation, 201 Fairview Street Extension, Fountain Inn, SC 29644 Phone:

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Simulation Advances. Antenna Applications

Simulation Advances. Antenna Applications Simulation Advances for RF, Microwave and Antenna Applications Presented by Martin Vogel, PhD Application Engineer 1 Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition

More information

TDK Component Library for Keysight ADS

TDK Component Library for Keysight ADS TDK Component Library for Keysight ADS ver. 2015.07 TDK Corporation Passive Application Center July 30, 2015 Caution < Applicable condition > The parameters in this library are obtained under the condition

More information

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00 GENERAL DESCRIPTION The PC2025A2100AT00 is a RoHS compliant low profile wideband 3dB hybrid coupler which can support mobile applications, including PCS and DCS applications. The power coupler series of

More information

Introduction and Applications for Ceramic Band-Pass Filters

Introduction and Applications for Ceramic Band-Pass Filters DATA SHEET Introduction and Applications for Ceramic Band-Pass Filters Applications High Q ceramic Rugged Temperature compensated Custom designs Features Low insertion loss Small compact design Frequency

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools Bruce Archambeault, Ph. D Satish Pratapneni, Ph.D. David C. Wittwer, Ph. D Lauren Zhang, Ph.D. Juan Chen,

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

TDK Component Library for Keysight ADS

TDK Component Library for Keysight ADS TDK Component Library for Keysight ADS ver. 2017.10 TDK Corporation Passive Application Center Oct. 26, 2017 Caution < Applicable condition > The parameters in this library are obtained under the condition

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

Layer Stackup Wizard: Intuitive Pre-Layout Design

Layer Stackup Wizard: Intuitive Pre-Layout Design Application Brief Layer Stackup Wizard: Intuitive Pre-Layout Design INTRODUCTION This Application Brief describes the Layer Stackup Wizard, a powerful utility within ANSYS SIwave for pre-layout stackup

More information

An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel

An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel Simulation Model definition for FDTD DUT Port Simulation Box Graded Mesh six Boundary Conditions 1 FDTD Basics: Field components

More information

Skill Development Centre by AN ISO CERTIFIED COMPANY

Skill Development Centre by AN ISO CERTIFIED COMPANY Skill Development Centre by AN ISO CERTIFIED COMPANY Industrial Automation Training Embedded/ VLSI system design Electrical control panel Design Product Development Fiber optics Technician Electrician

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

11525A 11524A

11525A 11524A 8 Typical Configuration 11900A 11901A 11904A 8059A 1250-1159 1250-1748 85058-60007 11900C 11901C 11901D 11904C 11904D 8059C 1250-1462 85058-60009 1190A 1250-166 1250-174 11525A 11524A 11852B 11852B Option

More information

Choosing the Right Photonic Design Software

Choosing the Right Photonic Design Software White Paper Choosing the Right Photonic Design Software September 2016 Authors Chenglin Xu RSoft Product Manager, Synopsys Dan Herrmann CAE Manager, Synopsys Introduction There are many factors to consider

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

NEURAL networks have been recognized as useful alternatives

NEURAL networks have been recognized as useful alternatives IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010 145 High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling Humayun Kabir, Ying Wang,

More information

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012 Using ADS to Post Process Simulated and Measured Models Presented by Leon Wu March 19, 2012 Presentation Outline Connector Models From Simulation Connector Models From Measurement The Post processing,

More information

Welcome. Joe Civello ADS Product Manager Agilent Technologies

Welcome. Joe Civello ADS Product Manager Agilent Technologies Welcome Joe Civello ADS Product Manager Agilent Technologies Agilent Technologies 2011 Agenda RF & microwave market trends & how Agilent EEsof is investing its R&D Multi-technology design with ADS 2011

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Product Datasheet Revision: April 2014

Product Datasheet Revision: April 2014 ALP8 8 GHz Product Datasheet Revision: April 1 Applications W-Band Imaging Sensors Radar X =.mm Y =.8mm Product Features RF frequency: 8 GHz Broadband Operation Linear gain: 9 db, typical Noise Figure:

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information