Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling

Size: px
Start display at page:

Download "Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling"

Transcription

1 Compact Modeling for PV and Aging Effects Correlated PV and Aging corner models ESE MOS-AK Rome a leap ahead in Compact Modeling

2 This work is funded by: Granted Medea+ Project

3 Motivation General constraint between device performance and lifetime Design is very limited within the specified operating conditions without any information of parameter shifts. Can we handle Aging effects with corner models similar to PV??

4 Presentation Overview PV Corner Modeling Reliability Effects in State of the art Design Kit SOA Check LTacc Aging parameter modeling Correlated Corner modeling for PV and Reliability Effects.

5 Process Variability Intra-Die Variation or Mismatch Inter-Die Variation

6 Process Variability Simulation/Modeling Modeling of Process Variability WC Model Pass/Fail criteria Sensitivity analyses No parameter correlation & No Yield estimation Corner Model With statistical Methods multivariate methods: PCA, PFA non-parametric Analyze Boundary Models, BPV Parameters are correlated MC Model Corner & Mismatch simulation Yield estimation parameter/device correlation

7 Worst Case Corner Modeling Device performance variation is described by SPICE models fs Uncorrelated WC Corner MOS transistors Min/Max IDSAT, VT, Correlated Parameter/Device IOFF Realistic Worst Cases IDSAT, VT, MOS transistors Min/Max ff (fast NMOS, fast PMOS) IOFF ss (M. (slow NMOS, slow PMOS) Kocher, MOS-AK UPS 2001) fs (fast NMOS, corner slow PMOS) Correlated Correlated corner sf (slow NMOS, fast ff (fast NMOS, fastpmos) PMOS) Corner Models device groups sscorner (slow NMOS, slow PMOS) Models forfor device groups fs (fast NMOS, slow PMOS) Permutation not necessary necessary sfpermutation (slow NMOS, fast PMOS) Corner Models for device groups Permutation necessary ss UC L LC L ff LC L sf UC L

8 State of the Art AMS PDK Including Reliability Effects in Compact Models/Design Kit SOA Check LTacc Simulation

9 SOA (Save Operating Area) Hot Carrier induced stress (HCS) for analog operation: Transistors are stressed at VDSmax and VGS=Vt+Voverdrive. Vt, IDSAT, IDlin and GMmax are used as degradation parameters. The maximum allowed shift e.g. 10% for analog applications within extrapolated target lifetime (10 years with Duty Factor of 100). Biased temperature high gate stress (BTS-VGS): PMOS transistors are stressed at high temperature (e.g. T=125 C) and maximum Gate voltage. The shift in threshold voltage (BMi) is used as degradation parameter for this effect. The maximum allowed shift e.g. 10% for analog applications within extrapolated target lifetime (10 years with Duty Factor of 100).

10 Safe Operating Area NMOS50 H: D NFET G B S SOAC Vgs [V] 20 Each Device has to operate inside its Safe Operating Area for the whole lifetime 10 Safe Operating Area Vds [V] H. Gensinger

11 Safe Operating Area Checker (SOAC) Verilog-A Watchdog which reports voltage levels outside the SOA and forward-biased parasitic diodes SOAC is necessary for robust High Voltage Design Available within the PDK (implemented in Spectre) No Change in Schematic Longer Simulation Time No information of parameter changes H. Gensinger

12 SOAC Tool Waveforms Schematic SOAC Tool SOA-Checker output All Violations are listed Selected violation H. Gensinger HGE

13 SOA LTacc Vgs [V] Safe Operating Area 0 Max allowed shift of 10% Vt, IDanalog and GMmax With LTacc=100 Is LTacc=100 applicable for analog applications? What is the lifetime outside the SOA? Overdesign within the SOA?.

14 LTacc LifeTime Acceleration Factor for Simulation LTacc defined in the Process Parameter Document: HV NMOS Transistors Device name max. VGS max. VDS max. VGB [V] [V] [V] LTacc Device length [µm] Note 0.5 Q1,Q4,Q Q2,Q4,Q Q1,Q4,Q High voltage NMOS with thin gate oxide max. VDB max. VSB [V] [V] (5) 50 (55) 3.6 (5) 50 (55) NMOS50T 3.6 (5) Digit al Analo g Digit al Analo g Digit al H. Gensinger * Taken a very bad device a example

15 LTacc LifeTime Acceleration Factor Definition Lifetime Acceleration Factor defines the degradation of a device within a specific operating area Turned Off devices have LTacc=1; this means a lifetime of 10years A device operating at LTacc=100 will have 1/100 of its nominal lifetime: => LT = 87600(*)/100 = 876 hours LTacc = 2000 => LT = 87600/2000 = 43.8 hours No direct information of parameter changes H. Gensinger * 10 Years = hours = 3.15*108 sec

16 LTacc The Concept Switching the device t 4 t 3 t 2t 1 V(D, S) Switching Time: t = t1+ t2+ t3+ Device passes through t4 operating areas with different LTacc factors during Switching: t1 : LTacc1 = 1 t2 : LTacc2 = 600 t3 : LTacc3 = 2000 t4 : LTacc4 = 1 H. Gensinger

17 LTacc Features LTacctot depends on the operating area of the device Length dependences taken into account Gives the estimated Lifetime acceleration factor for one simulation Lifetime can be calculated form LTacctot: Designer has to define a simulation (or a number of simulation) which is (are) H. representative for the whole lifetime of the device Gensinger

18 Lifetime Prediction & Aging Modeling Including Reliability Effects in Compact Models/Design Kit Implementation in the PDK Flow Aging Simulation Aging Effects Aging Modeling

19 ELIAS Project : Proposed design workflow European Project: End of Life Investigations for Automotive Systems H. Gensinger

20 Aging Simulation SPICE Input dec & Schematic + Analog Simulat or Aging Parameter Model VT=f(t) RD=f(t) Aging Simulator P=f(t) Sum (p.t) Extrapolate product lifetime Analog Simulat or

21 Aging Modeling HC: The de facto modeling method to analyze CHC is based on substrate current Isub, R D mechanism. (a) NBTI: 1-D hydrogen species diffusion. (b) CHC: 2-D hot-carrier trapping. NBTI: Generation of interface traps at Si/SiO2 interface Vt degradation partial recovery HC and NBTI Modeling with Reaction Diffusion and hole trapping/detrapping mechanism : VT, U0, RON = f (Nit) =f (isub, ids) Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology: Wenping Wang IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 7, NO. 4, DECEMBER 2007

22 IBULK Modeling Extraction results for saturation region (fresh,10s,100s,1000s, 10e4s) Ibulk Degradation Substrate current model Used parameters: ALPHA0 BETA0 Relative Degradation of ibulk [%] A. Steinmair

23 ID Modeling Extraction results for linear region (fresh,10s,100s,1000s,10e4s) Ron effect visible: Used parameter: RD ID Degradation Relative Degradation of ID [%] A. Steinmair

24 RelXpert Implementation A. Steinmair

25 Circuit Simulation Benchmark Circuit: Stressed Voltage Divider +1 V V g R=510 Ohm, external f=10khz NMOSIM, 10x0.5 GND 20u s 5 30us V 20us 10000s fresh 30us 2V Alpha0, beta0, RD = f(t) A. Steinmair

26 Securing Circuit Functionality with Aging Corner Models Correlated PV and Aging Corner Models Split lot production and stress measurements Aging Corner Models with E2SPICE Method

27 PV and Aging effects (FET) Inter Die PV: Variation of electrical parameters based on process variation VT, IDSAT, IDLIN WC models based on process specification = 6Sigma, 3 sigma of output parameter SPICE Models: Variation of input parameters (VTO, U0, NSUB) resulting in variation of out put parameters (IDSAT, IOFF, VTshort) Aging Modeling: Parameter shift based on HC or NBTI VT, IDSAT, IDLIN SOAC & LTacc based on lifetime specification = parameter aging/ degrading of max 10% (gm, idsat, idlin, vt) for 10 year lifetime Aging SPICE Models: WC models based on lifetime specification including PV

28 Split lot production and Corner Model check Benchmark plot for a NMOS device, W/L=10um/0.35um, Vgs=3.3V, Vbs=0V, (+) measurement of WCP (upper) and WCS (lower) corner lot wafer, (x) measurement of typical corner lot wafer, (dotted line) typical BSIM3v3 device model, (dashed lines) statistical BSIM3v3 WCP model (upper) and statistical BSIM3v3 WCS model (lower), (solid lines) common BSIM3v3 WC models

29 HC Stress 4.7V IDsat shift % IDlin shift %

30 WC Reliability Model Pessimistic WC Model failed New Aging WC Model Set Including PV and HC

31 Summary and Outlook Generation of WC aging models including PV: Further Research Temperature dependency NBTI specially for PFET HV Transistor

32 Thank you Thank you for your attention

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Statistical Modeling for Monte Carlo Simulation using Hspice

Statistical Modeling for Monte Carlo Simulation using Hspice Statistical Modeling for Monte Carlo Simulation using Hspice Kerwin Khu Chartered Semiconductor Manufacturing Ltd khukerwin@charteredsemi.com ABSTRACT With today's stringent design margins, designers can

More information

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference Reliability Simulation based on Verilog-A Marq Kole Behavioral Modelling And Simulation conference September 20, 2007 Outline Device Degradation and Circuit Reliability Reliability Physics Reliability

More information

Spayn Worst-Case Modeling

Spayn Worst-Case Modeling Presentation Outline Motivation Requirements for Accurate Worst-Case Modeling Traditional Approach to Worst-Case Modeling PCA or PFA Approach Worst-Case Design Techniques Employing PCA or PFA Worst-Case

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F4 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 30/05/2014-1 ATLCE - F4-2011

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

Minimization of NBTI Performance Degradation Using Internal Node Control

Minimization of NBTI Performance Degradation Using Internal Node Control Minimization of NBTI Performance Degradation Using Internal Node Control David R. Bild, Gregory E. Bok, and Robert P. Dick Department of EECS Nico Trading University of Michigan 3 S. Wacker Drive, Suite

More information

Multi-site Probing for Wafer-Level Reliability

Multi-site Probing for Wafer-Level Reliability Multi-site Probing for Wafer-Level Reliability Louis Solis De Ancona 1 Sharad Prasad 2, David Pachura 2 1 Agilent Technologies 2 LSI Logic Corporation s Outline Introduction Multi-Site Probing Challenges

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

Ecole Polytechnique Fédérale de Lausanne EPFL GigaRadMOST Total Ionizing Dose Effects on 28 nm Bulk CMOS Technology

Ecole Polytechnique Fédérale de Lausanne EPFL GigaRadMOST Total Ionizing Dose Effects on 28 nm Bulk CMOS Technology Ecole Polytechnique Fédérale de Lausanne EPFL GigaRadMOST Total Ionizing Dose Effects on 28 nm Bulk CMOS Technology Chun-Min Zhang, Farzan Jazaeri, Alessandro Pezzotta, Christian Enz Integrated Circuits

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production http://mycmp.fr Grenoble - France Available Processes Process

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

hal , version 1-14 Feb 2012 Abstract

hal , version 1-14 Feb 2012 Abstract Author manuscript, published in "Microelectronics Reliability, 9 () p14-18" DOI : 16/jmicrorel7 Ageing effect on electromagnetic susceptibility of a phase-locked-loop B Li 1, A Boyer, S Bendhia, C Lemoine

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL 97 APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL INTRODUCTION TCAD (Technology Computer Aided Design) is a technology that solves the equations representing the manufacturing process of Large Scale Integration

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Lab 5: Circuit Simulation with PSPICE

Lab 5: Circuit Simulation with PSPICE Page 1 of 11 Laboratory Goals Introduce text-based PSPICE as a design tool Create transistor circuits using PSPICE Simulate output response for the designed circuits Introduce the Tektronics 571 Curve

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Overview Opportunities for Full-Chip Analog Verification Analog vs. Digital Design SPICE standard design tool for Analog and Mixed-Signal

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

On-Chip True Random Number Generation in Nanometer Cmos

On-Chip True Random Number Generation in Nanometer Cmos University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2012 On-Chip True Random Number Generation in Nanometer Cmos Vikram Belur Suresh University of Massachusetts

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

SMASH: a Verilog-A simulator for analog designers

SMASH: a Verilog-A simulator for analog designers SMASH: a Verilog-A simulator for analog designers Gilles DEPEYROT, Frédéric POULLET & Benoît DUMAS DOLPHIN Integration Outline Context & Goals Coding Guidelines Benchmark of Verilog-A vs. SPICE Progress

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

IGBT Drivers Design for Quality

IGBT Drivers Design for Quality IGBT Drivers Design for Quality Heinz Rüedi and Jan Thalheim CT-Concept Technologie AG Renferstrasse 15 2504 Biel-Bienne (Switzerland) Tel +41 32 341 41 01 Fax +41 32 341 71 21 E-Mail Info@IGBT-Driver.com

More information

Reliability Test Station

Reliability Test Station Reliability Test Station David Cheney Electrical & Computing Engineering MURI Review May 2011 Reliability Test Station FLOORS 1.12 540nm 570nm 650nm 1.10 IDS(Normalized) 360nm 400nm 440nm 0.08 IDS (A)

More information

MOSFET Simulation Models

MOSFET Simulation Models MOSFE Simulation Models Dr. David W. Graham West irginia University Lane Department of Computer Science and Electrical Engineering 010 David W. Graham 1 Rigorous Modeling Requires 3D modeling equations

More information

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting 1 On Constructing Lower Power and Robust Clock Tree via Slew Budgeting Yeh-Chi Chang, Chun-Kai Wang and Hung-Ming Chen Dept. of EE, National Chiao Tung University, Taiwan 2012 年 3 月 29 日 Outline 2 Motivation

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

PRONTO Ultimum: Ultrathin Chips Embedded in Flexible Packages Thomas Gneiting, AdMOS GmbH

PRONTO Ultimum: Ultrathin Chips Embedded in Flexible Packages Thomas Gneiting, AdMOS GmbH PRONTO Ultimum: Ultrathin Chips Embedded in Flexible Packages Thomas Gneiting, AdMOS GmbH CST European User Conference 2013 April 23 25, 2013 Maritim Hotel Stuttgart/Liederhalle, Stuttgart, Germany Dr.

More information

Compact Model Standardization and Implementation Using Verilog-A

Compact Model Standardization and Implementation Using Verilog-A Compact Model Standardization and Implementation Using Verilog-A Outline Motivation Compact Model Standardization Present, Status and Future Benefits Using Verilog-A Procedures and Tools Spice-LS Paragon

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

DATA SHEET. Reedholm Distribution Software: The RDS Intranet Editions DS Introduction

DATA SHEET. Reedholm Distribution Software: The RDS Intranet Editions DS Introduction DATA SHEET DS-15133 Reedholm Distribution Software: The RDS Intranet Editions Test Plans and Results in SQL Database Test Documentation & Version Control Integrated Analysis & Lot Reports High Volume Automatic

More information

Analog Verification Concepts: Industrial Deployment Case Studies

Analog Verification Concepts: Industrial Deployment Case Studies Analog Verification Concepts: Industrial Deployment Case Studies Frontiers in Analog CAD (FAC 2014) July, 9-10, 2014, Grenoble, France Peter Rotter, Infineon Technologies AG Agenda Analog Verification

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop SmartSpice Analog Circuit Simulator Product Update Yokohama, June 2004 Workshop Agenda SmartSpice Products SmartSpice General Features SmartSpice New GUI SmartSpice New features Supported Models and Modeling

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

DIE-TO-DIE and within-die variations in process parameters

DIE-TO-DIE and within-die variations in process parameters 1370 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 6, JUNE 2007 Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS Saibal Mukhopadhyay, Member, IEEE,

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

FOUNDRY Services. Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015

FOUNDRY Services. Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015 FOUNDRY Services Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015 UMS at a glance European source of RF MMIC solutions, GaAs and GaN foundry services. Founded in 1996 by gathering

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information