0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

Size: px
Start display at page:

Download "0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications"

Transcription

1 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate length process for digital applications, process modules are available for 5V or 12V Poly emitter VNPN, 5V or 12V LPNP, PIP and Sinker capacitor and High value resistors. All main modules are comparable in Design Rules. Comprehensive design rules, accurate SPICE models, DRC and LVS common file support the process on platforms supplied by the major EDA tool vendors Key Features - 5V logic layout & performance compatible with the industry standard - Modular concept (MOS/ CAP / BJT /RES/ Special require.) - 5V/ 12V Poly emitter VNPN - 5V/ 12V LPNP - capacitor (PIP, Poly1/ N sinker, Poly2 / N sinker, stacking) - High value poly resistor (Poly1, Base) - Provide high temperature models - (MOS, BJT, RES, CAP) Applications - FM Tune TV Signal control Quality Assurance CSMC continue to improve the process quality and reliability and to provide competent support to the customers. CSMC follow APQP procedures developed process technology. This comprehensive, proprietary quality improvement system has been certified to fulfill the requirements of the ISO 9001, QS 9000, ISO TS and other standards. Deliverables PCM tested wafers Optional production services: wafer probe sort Optional production services(turn key): packages and final sort Optional Engineering services: Multi Project Wafer (MPW) and Multi Layer Service (MLM)

2 Process Flow Baseline Module BN photo and implant BP photo and implant N-well photo and implant P-well photo and implant Active Area N-Field N sinker photo and implant Poly 1 CMOS Base photo and implant emitter Poly2 NLDD N+ Implant P+ Implant Contact Plug photo and implant Metal 1 Via 1 Metal 2 PAD Additional Module Poly high resistor ONO capacitor Schematic Cross Sections

3 Document list Mask Tooling Information Electrical Design Rule PCM Specification SPICE model Basic Design Rules Basic design rules Parameter Width(µm) Space(µm) Pitch(µm) N bury layer P bury layer N well P well Active Nsinker IM Poly Cmos Base Emitter Poly2 (Gate poly) N P Contact M Via Top Metal (Thin)

4 Key Device Parameters of 5V BiCMOS MOS Transistor Device Device name VT (V) Ids (ua/um) Bvd/sgt (V) Ioff (pa/um) Max. Vds Max.Vgs normal NMOS NMOS >8 < normal PMOS PMOS <-8 < BJT Transistor Device Device name Hfe BVceo (V) BVcbo (V) BVebo (V) VA 5V VNPN npn >12 5V LPNP pnp >6 5V VPNP pnp >45 Resistor and conductors Device Device name Rs(ohm/sq) Thickness(um)/junction depth(um) Buried N+ RES Buried N NW_F 77.6/19.4 RES N well N+ SHEET RES N+ Res P+ SHEET RES P+ Res NSINKER RES N SINKER Res 21 - LOW POLY1 RES Poly Res HIGH POLY1 RES Poly Res POLY2 RES Poly Res P-BASE RES Base Res Capacitors Device Device name Area Cap.(fF/um2) BV (V) CAPS ONO PIP CAP 1.8 >8 CAPS Poly2/Nsinker Nsinker CAP 2.3 >8 CAPS Poly1/Nsinker Nsinker CAP 1.2 >18 GOX/NW CAP MOS CAP 2.5 >8 GOX/PW CAP MOS CAP 2.5 >8 Key Device Parameters of 12V BiCMOS MOS Transistor Device Device name VT (V) Ids (ua/um) Bvd/sgt (V) Ioff (pa/um) Max. Vds Max.Vgs normal NMOS NMOS >8 < normal PMOS PMOS <-8 < BJT Transistor Device Device name Hfe BVceo (V) BVcbo (V) BVebo (V) VA 12V VNPN npn >30 12V LPNP pnp >15 Resistor and conductors Device Device name Rs(ohm/sq) Thickness(um)/junction depth(um) Buried N+ RES Buried N NW_F 77.6/19.4 RES N well N+ SHEET RES N+ Res P+ SHEET RES P+ Res NSINKER RES N SINKER Res 21 - LOW POLY1 RES Poly Res HIGH POLY1 RES Poly Res POLY2 RES Poly Res P-BASE RES Base Res Capacitors Device Device name Area Cap.(fF/um2) BV (V) CAPS ONO PIP CAP 1.8 >8 CAPS Poly2/Nsinker Nsinker CAP 2.3 >8 CAPS Poly1/Nsinker Nsinker CAP 1.2 >18 GOX/NW CAP MOS CAP 2.5 >8 GOX/PW CAP MOS CAP 2.5 >8

5 Examples for measured and modeled parameter characteristics Figure1. NMOS W/L=20/0.6 Figure2. PMOS W/L=20/0.6 Figure3. 5V LPNP Figure4. 5V VNPN Figure5. 12V LPNP Figure6. 12V VNPN

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production http://mycmp.fr Grenoble - France Available Processes Process

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process Numonyx JSPCM128A00B85ES 90 nm BiCMOS PCM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s

Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s René F. Scholz *, B. Senapati*, A. Chakravorty #, D. Knoll *, C.K. Maiti # * IHP, Frankfurt (Oder), Germany # IIT, Kharagpur,

More information

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. 700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. Dr. Shye Shapira Director of Global Power Management Research and Development TowerJazz April

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Introduction to Layout design

Introduction to Layout design Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: http://www.mosis.org/ 1 Introduction to

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation Mixed-Signal/RF-0.18μm CSMC 0.18 micron process is process-matched to other foundry. CSMC logic process provide generic and low power process. 0.18 micron process provide 1.8V operation voltage core device,

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

CMOS VLSI DESIGN ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN. Dr. Lynn Fuller

CMOS VLSI DESIGN ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN Dr. Lynn Fuller Webpage: http://people.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Fausto Piazza Silicon Technology Development envm Memories

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage:

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Experiment 1 Introduction to PSpice

Experiment 1 Introduction to PSpice Experiment 1 Introduction to PSpice W.T. Yeung and R.T. Howe UC Berkeley EE 105 Fall 2003 1.0 Objective One of the CAD tools you will be using as an circuit designer is SPICE, a Berkeleydeveloped industry-standard

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

ESD 충북대학교 전자정보대학 김영석

ESD 충북대학교 전자정보대학 김영석 ESD 충북대학교 2011.9 1 ElectroStatic Charge Generation When 2 Surfaces in Contact then Separate Some Atom Electrons Move Causing Imbalance One Surface Has Positive Charge & One Surface Has Negative Charge

More information

VBIT COURSE MATERIAL VLSI DESIGN

VBIT COURSE MATERIAL VLSI DESIGN UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2μm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

ECE 261: CMOS VLSI Design Methodologies

ECE 261: CMOS VLSI Design Methodologies ECE 261: CMOS VLSI Design Methodologies Prof. Krishnendu (Krish) Chakrabarty Dept. Electrical and Computer Engineering Room 2513 CIEMAS Ph: 660-5244 E-mail: krish@ee.duke.edu URL: http://www.ee.duke.edu/~krish

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

New Enhanced Possibilities of Netlist Comparison in Guardian LVS

New Enhanced Possibilities of Netlist Comparison in Guardian LVS Application Note New Enhanced Possibilities of Netlist Comparison in Guardian LVS 1. Introduction The Guardian LVS (Layout versus Schematic) netlist comparison tool compares two SPICE netlists. One of

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

FOUNDRY SERVICES. RF & mm-wave Applications. 1GHz 2GHz 5GHz 10GHz 20GHz 50GHz 100GHz

FOUNDRY SERVICES. RF & mm-wave Applications. 1GHz 2GHz 5GHz 10GHz 20GHz 50GHz 100GHz FOUNDRY SERVICES UMS has developed a proven family of GaAs based processes for high performance low noise and high power MMICs. These processes are extensively used by foundry customers and by UMS to offer

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

0.35um design verifications

0.35um design verifications 0.35um design verifications Path end segment check (END) First check is the end segment check, This error is related to the routing metals when routing is done with a path. The finish of this path can

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage:

Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Computer Aided Design (CAD) Dr. Lynn Fuller Webpage: http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS

EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS I. Introduction I.I Objectives In this experiment, you will analyze the voltage transfer characteristics (VTC) and the dynamic response of the CMOS

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis

Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis May 26, 2004 Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

RCTI, SOLA, AHMEDABAD INFORMATION TECHNOLOGY DEPARTMENT. SUBJECT :- Advanced Computer Programming ( )

RCTI, SOLA, AHMEDABAD INFORMATION TECHNOLOGY DEPARTMENT. SUBJECT :- Advanced Computer Programming ( ) RCTI, SOLA, AHMEDABAD INFORMATION TECHNOLOGY DEPARTMENT SUBJECT :- Advanced Computer Programming (3320702) Assignment 1 (Unit I - Array) Define an array. Explain types of array. Give advantage and disadvantage

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F4 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 30/05/2014-1 ATLCE - F4-2011

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

Art of Layout Euler s path and stick diagram. Kunal Ghosh

Art of Layout Euler s path and stick diagram. Kunal Ghosh Art of Layout Euler s path and stick diagram Kunal Ghosh I wrote about Euler s path and stick diagram in two different blogs, but now is the time to show you how are they connected. It s simple and, seems,

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling Compact Modeling for PV and Aging Effects Correlated PV and Aging corner models ESE MOS-AK Rome a leap ahead in Compact Modeling This work is funded by: Granted Medea+ Project Motivation General constraint

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

Magic Technology Manual #1: NMOS

Magic Technology Manual #1: NMOS Magic Technology Manual #1: NMOS John Ousterhout Computer Science Division Electrical Engineering and Computer Sciences University of California Berkeley, CA 970 (Warning: Process details often change.

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY

DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY Priyal Grover 1, Assist. Prof. HemantVerma 2 1,2 Department of Electronics and Communication Engineering Technocrats

More information

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories 10 Memories This chapter described the memory cell architecture. After a general introduction, we detail the principles and implementation of static RAM, dynamic RAM, read-only memories, electrically erasable

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information