Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Size: px
Start display at page:

Download "Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells"

Transcription

1 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science Department The University of Michigan, Ann Arbor University of Michigan 1 1

2 Power Budget, W Motivation 2 Long Device Lifetime Small Form Factor Implantable Medical Devices Military Surveillance Infrastructure Monitoring Smart RFID 1k m 10m 1m 100µ 10µ 1µ 100n 10n 1n 100p minute minute hour hour day day month year decade 10p Desired Lifetime, s Alkaline AA 20mm Li Coin 1mm 2 Li Thin-film month year decade University of Michigan 2 2

3 Power Budget, W Motivation 3 Long Device Lifetime Small Form Factor Implantable Medical Devices Military Surveillance Infrastructure Monitoring Smart RFID 1k m 10m 1m 100µ 10µ 1µ 100n 10n 1n 100p minute minute hour hour day day month year decade 10p Desired Lifetime, s Alkaline AA 20mm Li Coin 1mm 2 Li Thin-film month year decade University of Michigan 3 3

4 8.75mm 3 Sensor System 4 Battery Solar Cells Processor, SRAM and PMU University of Michigan 4 4

5 8.75mm 3 Sensor System 5 Solar cells 2 series solar cells 0.18 µm CMOS Removed nitride and silicide Battery 3.6 V Cymbet thin-film Li 12 µah capacity 2.5 mm x 3.5 mm Integrated circuits Near-threshold Cortex-M3 Low-voltage SRAM Power Management Unit Battery Solar Cells Processor, SRAM and PMU University of Michigan 5 5

6 Typical Operation 6 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 6 6

7 Typical Operation 7 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 7 7

8 Typical Operation 8 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 8 8

9 Typical Operation 9 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 9 9

10 Typical Operation 10 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 10 10

11 Typical Operation 11 Active Read sensor Process data Store data Request Grant Wakeup C l o c k G e n S l e e p C t r l Timer J T A G P M U Mode A R M C o r t e x - M 3 P r o c e s s o r S l e e p S t a t e N R - S R A M R - S R A M C D C S e n s o r T e m p. S e n s o r University of Michigan 11 11

12 Typical Operation 12 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 12 12

13 Typical Operation 13 Active Read sensor Process data Store data Request Grant Wakeup Gen Ctrl JTAG PMU ARM Cortex- M3 Processor State NR-SRAM R-SRAM CDC Sensor Temp. Sensor University of Michigan 13 13

14 Cortex-M3 14 Near-threshold 32-bit microcontroller 0.18 µm CMOS Standard cell library Removed gates with 4+ transistor stacks for robustness Removed gates with size 4x and larger for power/performance tradeoff Characterized at low voltage 24kb R-SRAM PMU Controller Debug ARM Cortex-M3 32-bit Processor State Ctrl Clk Scan SCN 2.2mm 16kb NR-SRAM Temp CDC 2.7mm University of Michigan 14 14

15 R-SRAM 15 Instruction memory Data logging Debug 16kb NR-SRAM Powered on during sleep Low leakage data retention Banks can be individually shut down Reduce leakage of unused SRAM 24kb R-SRAM PMU Controller ARM Cortex-M3 32-bit Processor State Ctrl Clk Scan Temp SCN CDC 2.7mm Twelve 2 kb banks 2.2mm University of Michigan 15 15

16 R-SRAM Operation 16 WL VDD CELL 220nm 500nm VDD READ 880nm 180nm RWL BL 440nm 500nm 440nm 500nm BL RWL 540nm 180nm RBL Robust 400 mv operation Read buffer prevents read upset failures Read buffer pull up prevents unwanted RBL discharge University of Michigan 16 16

17 R-SRAM Operation 17 WL VDD CELL 220nm 500nm Power Gated VDD READ 880nm 180nm RWL BL 440nm 500nm 440nm 500nm BL Low leakage 6T portion not power gated to retain data Power gate read buffer during sleep IO devices and length biasing RWL 540nm 180nm RBL University of Michigan 17 17

18 R-SRAM Operation 18 VDD BOOST WL VDDBOOST VDD CELL 220nm 500nm VDDBOOST VDD READ 880nm 180nm RWL BL 440nm 500nm 440nm 500nm BL RWL 540nm 180nm Higher speed operation (write limited) HVT PMOS pass gates increase speed by 50% 550 mv VDD BOOST is readily available from the PMU WL and BL boosting increases speed by 2.5x RBL University of Michigan 18 18

19 NR-SRAM 19 Temporary storage for DSP algorithms Debug 16kb NR-SRAM Power gated during sleep Similar 10T topology to R-SRAM All SVT devices SVT NMOS pass gates are used for speed No pass gate boosting 24kb R-SRAM PMU Controller ARM Cortex-M3 32-bit Processor State Ctrl Clk Scan Temp SCN CDC 2.7mm Eight 2 kb banks 2.2mm University of Michigan 19 19

20 SRAM Summary and Layout µm CMOS at 500mV Phoenix JSSC 09 NR-SRAM R-SRAM Devices 14T 10T 10T PFET PG Bitcell Area 40.0 μm μm μm 2 Energy/Access/Bit 0.22 fj 0.60 fj 1.18 fj NFET PD Frequency 100 khz 1 MHz 1 MHz Write Asynchronous 1-cycle Multi-cycle Read 1-cycle 1-cycle 1-cycle Leakage/Bit 7.1 fw 6.3 pw 3.3 fw PFET PU R-SRAM Layout Read Buffer Inverter RWL VDD CELL BL GND BL VDD CELL VDD READ RBL GND WL RWL PFET PU NFET PD PFET PG Transmission Gate University of Michigan 20 20

21 SRAM Summary and Layout µm CMOS at 500mV Phoenix JSSC 09 NR-SRAM R-SRAM Devices 14T 10T 10T PFET PG NFET PD Bitcell Area 40.0 μm μm μm 2 Energy/Access/Bit 0.22 fj 0.60 fj 1.18 fj Frequency 100 khz 1 MHz 1 MHz Write Asynchronous 1-cycle Multi-cycle Read 1-cycle 1-cycle 1-cycle Leakage/Bit 7.1 fw 6.3 pw 3.3 fw PFET PU R-SRAM Layout Read Buffer Inverter RWL VDD CELL BL GND BL VDD CELL VDD READ RBL GND WL RWL PFET PU NFET PD PFET PG Transmission Gate University of Michigan 21 21

22 PMU 22 Converts power among the solar cells, battery and integrated circuits Debug 16kb NR-SRAM Co-optimized for efficiency in active and sleep modes Harvests energy during active and sleep mode Recharges the battery 24kb R-SRAM PMU Controller ARM Cortex-M3 32-bit Processor State Ctrl Clk Scan Temp SCN CDC 2.7mm 2.2mm University of Michigan 22 22

23 PMU Overview 10µA Active Mode 23 V BATT Switch Capacitor Network CLK SCNOUT Switched Capacitor Network Solar Monitor SCN Monitor Enable 50Hz 1.2MHz LC Φ 2C Φ 1C Φ 2B C 5 C 6 C 4 V REF Lin Reg Φ 1B C 3 LC Cortex-M3 NRSRAM Ctrl RSRAM Φ 2A Φ 1A C 1 C 2 Ladder SCN divides 3.6 V battery voltage by MHz reduced swing clock with level converters 8.3x energy reduction over full-swing clocking University of Michigan 23 23

24 PMU Overview 10µA Active Mode 24 V BATT Switch Capacitor Network CLK SCNOUT Voltage Reference (V REF ) Solar Monitor SCN Monitor Enable 50Hz 1.2MHz Zero-V TH V REF Lin Reg Cortex-M3 NRSRAM Ctrl RSRAM V REF Seok, CICC 09 Sub-V TH -biased linear regulator removes power supply noise V DD for Cortex-M3 and SRAM set by 8 pa V TH -based V REF 0.05%/V line sensitivity 20 ppm/ºc temperature coefficient University of Michigan 24 24

25 PMU Overview 1nA Mode 25 V BATT Switch Capacitor Network CLK SCNOUT 50Hz Delay Element Solar Monitor SCN Monitor Enable 50Hz 1.2MHz V REF Lin Reg A Z Z A Cortex-M3 NRSRAM Ctrl RSRAM SCN clocked at 50 Hz Linear regulator bypassed and bias current removed 63 pw clock generated with leakage-based delay element University of Michigan 25 25

26 PMU Overview 1nA Mode 26 V BATT Switch Capacitor Network CLK SCNOUT 50Hz Delay Element Solar Monitor SCN Monitor Enable 50Hz 1.2MHz 0-> >0 V REF Lin Reg A Z Z A Cortex-M3 NRSRAM Ctrl RSRAM SCN clocked at 50 Hz Linear regulator bypassed and bias current removed 63 pw clock generated with leakage-based delay element University of Michigan 26 26

27 PMU Overview 1nA Mode 27 V BATT Switch Capacitor Network CLK SCNOUT 50Hz Delay Element Solar Monitor SCN Monitor Enable 50Hz 1.2MHz V REF Lin Reg A Z Z A Cortex-M3 NRSRAM Ctrl RSRAM SCN clocked at 50 Hz Linear regulator bypassed and bias current removed 63 pw clock generated with leakage-based delay element University of Michigan 27 27

28 PMU Overview SCN clocking V BATT Switch Capacitor Network CLK SCNOUT Skipped Pulses PMU 28 Solar Monitor SCN Monitor Enable 50Hz 1.2MHz SCN OUT V REF Lin Reg SCN Cortex-M3 NRSRAM Ctrl RSRAM Measured Waveforms SCN is clocked on demand to accommodate varying loads SCN monitor checks SCN OUT voltage and gates clock Reduces clock energy to increase efficiency by 20% University of Michigan 28 28

29 Photovoltaic Current, A PMU Overview Energy harvesting 29 V BATT Solar Monitor SCN Monitor V REF Switch Capacitor Network Lin Reg Enable 50Hz 1.2MHz Cortex-M3 NRSRAM CLK SCNOUT Ctrl RSRAM suns (AM 1.5) suns suns suns suns Photovoltaic Voltage, mv The solar monitor checks the PV voltage (V PV ) If V PV > V SCNOUT, the PV cells are connected to SCN OUT University of Michigan 29 29

30 Photovoltaic Current, A PMU Overview Energy harvesting 30 V BATT Solar Monitor SCN Monitor V REF Switch Capacitor Network Lin Reg Enable 50Hz 1.2MHz Cortex-M3 NRSRAM CLK SCNOUT Ctrl RSRAM suns (AM 1.5) suns suns suns suns Photovoltaic Voltage, mv The solar monitor checks the PV voltage (V PV ) If V PV > V SCNOUT, the PV cells are connected to SCN OUT If V SCNOUT > V BATT /6, the same SCN recharges the battery University of Michigan 30 30

31 Energy per Inst, J Frequency, Hz Processor Performance vs. Energy M 500p 400p 10M 300p 1.8 V 95 MHz 1M 200p 100p 0.4 V 73 khz 0.8 V 17 MHz 100k 0.5 V 0 1 MHz 10k V DD, V University of Michigan 31 31

32 Energy per Inst, J Frequency, Hz Processor Performance vs. Energy M 500p 400p 10M 300p 1.8 V 95 MHz 1M 200p 100p 0.4 V 73 khz 0.8 V 17 MHz 100k 0.5 V 0 1 MHz 10k V DD, V University of Michigan 32 32

33 Energy per Instruction, J Energy Supplied by PMU 33 90p 80p 70p 60p 50p 40p 30p 20p 10p 0 Total Energy Leakage Dynamic Minimum Energy Operating Point 0.5 V, 37 pj 0.4 V, 28 pj 0.6 V, 54 pj V DD, V University of Michigan 33 33

34 Power, W Power, W Power Supplied by PMU 34 10n 1n 100p 0.4 V 100 pw Total R-SRAM 0.5 V 230 pw 0.6 V 680 pw V DD, V Power at 400mV 1 100n 10n 100p R-SRAM 80.53% 1n Timer 19.46% Cortex-M3 < 0.01% NR-SRAM < 0.01% 35 pw 1 W 13 nw 100 pw Phoenix JSSC'09 Kwong JSSC '09 Warneke ISSCC '04 University of Michigan p This Work

35 V BATT, V I BATT, A Nearly-Perpetual Operation Active Active Time, s University of Michigan 35 35

36 Measurements per Day Nearly-Perpetual Usage Model 36 16k 14k 12k 10k 8k Bright Indoor 1 per 4 mins Cloudy 3 per minute Sunny 10 per minute 6k 4k 2k 0 1 measurement requires 10k instructions Light, suns AM 1.5 Mode Harvesting Lifetime One measurement every hour No 5 years Idle lifetime No 49 years Up to10 measurements per minute Yes Nearly-infinite University of Michigan 36 36

37 Summary 37 Small sensors and long lifetimes create new applications in medical, infrastructure and environmental monitoring Size constrains on-sensor energy storage and average power for desired lifetime Multi-year lifetime requires sub-nanowatt power consumption Near-threshold processing Low-leakage SRAM mode power management Energy harvesting enables nearly-perpetual operation University of Michigan 37 37

38 38 Thank You University of Michigan 38 38

Centip3De: A 64-Core, 3D Stacked, Near-Threshold System

Centip3De: A 64-Core, 3D Stacked, Near-Threshold System 1 1 1 Centip3De: A 64-Core, 3D Stacked, Near-Threshold System Ronald G. Dreslinski David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 24

ECE 571 Advanced Microprocessor-Based Design Lecture 24 ECE 571 Advanced Microprocessor-Based Design Lecture 24 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 25 April 2013 Project/HW Reminder Project Presentations. 15-20 minutes.

More information

Yield-driven Near-threshold SRAM Design

Yield-driven Near-threshold SRAM Design Yield-driven Near-threshold SRAM Design Gregory K. Chen, David Blaauw, Trevor Mudge, Dennis Sylvester Department of EECS University of Michigan Ann Arbor, MI 48109 grgkchen@umich.edu, blaauw@umich.edu,

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

Energy Harvesting Reference Design

Energy Harvesting Reference Design www.silabs.com Energy Harvesting Reference Design Sustainable, Ultra-Low-Power Solution for Wireless Sensor Node Applications Embargo Until May 25, 2011 Dramatic Growth Ahead in Energy Harvesting Energy

More information

Low Power SRAM Techniques for Handheld Products

Low Power SRAM Techniques for Handheld Products Low Power SRAM Techniques for Handheld Products Rabiul Islam 5 S. Mopac, Suite 4 Austin, TX78746 5-4-45 rabiul.islam@intel.com Adam Brand Mission College Blvd Santa Clara, CA955 48-765-546 adam.d.brand@intel.com

More information

EnerChip CBC012. Rechargeable Solid State Energy Storage: 12µAh, 3.8V

EnerChip CBC012. Rechargeable Solid State Energy Storage: 12µAh, 3.8V EnerChip CBC012 Rechargeable Solid State Energy Storage: 12µAh, 3.8V Features All Solid State Construction SMT Package and Process Lead-Free Reflow Tolerant Thousands of Recharge Cycles Low Self-Discharge

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Let s first take a look at power consumption and its relationship to voltage and frequency. The equation for power consumption of the MCU as it

Let s first take a look at power consumption and its relationship to voltage and frequency. The equation for power consumption of the MCU as it 1 The C8051F91x/0x product family is designed to dramatically increase battery lifetime which is the number one requirement for most battery powered applications. The C8051F91x has the industry s lowest

More information

Minimization of NBTI Performance Degradation Using Internal Node Control

Minimization of NBTI Performance Degradation Using Internal Node Control Minimization of NBTI Performance Degradation Using Internal Node Control David R. Bild, Gregory E. Bok, and Robert P. Dick Department of EECS Nico Trading University of Michigan 3 S. Wacker Drive, Suite

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Preliminary Smart Dust Mote

Preliminary Smart Dust Mote Preliminary Smart Dust Mote Brett Warneke, Bryan Atwood, Kristofer Pister Berkeley Sensor and Actuator Center Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Smart

More information

Optimizing Standby

Optimizing Standby Optimizing Power @ Standby Circuits and Systems Jan M. Rabaey Chapter Outline Why Sleep Mode Management? Dynamic power in standby Clock gating Static power in standby Transistor sizing Power gating Body

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

Smart Dust Mote Core Architecture

Smart Dust Mote Core Architecture Smart Dust Mote Core Architecture Brett Warneke, Sunil Bhave CS252, Spring 2000: Project Report Berkeley Sensor and Actuator Center, 497 Cory Hall, Berkeley CA 94720 {warneke, sunil}@eecs.berkeley.edu

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6.

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6. Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 3.3V ± 4% Output VIN Range: 1.8V to 5V Output Current: 100mA Constant Frequency Operation at All Loads Low Noise Constant Frequency (1.2MHz)

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

HX4002 HX1001. White LED Backlighting Li-Ion Battery Backup Supplies Local 3V to 5V Conversion Smart Card Readers PCMCIA Local 5V Supplies

HX4002 HX1001. White LED Backlighting Li-Ion Battery Backup Supplies Local 3V to 5V Conversion Smart Card Readers PCMCIA Local 5V Supplies HX1001 Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 5V±4% Output VIN Range: 2.7V ~ 5V Output Current: up to 250mA (V IN =4.5V) Low Noise Constant Frequency Operation Shutdown Current:

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Ultra Low Power Microcontroller - Design Criteria - June 2017

Ultra Low Power Microcontroller - Design Criteria - June 2017 Ultra Low Power Microcontroller - Design Criteria - June 2017 Agenda 1. Low power technology features 2. Intelligent Clock Generator 3. Short wake-up times 4. Intelligent memory access 5. Use case scenario

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy A 65nm Sub-V t SRAM Employing Sense-Amplifier Redundancy Naveen Verma and Anantha Chandrakasan Massachusetts Institute of Technology ISSCC 2007 Energy Minimization Minimum energy V DD for logic results

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs

Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs Satyanand Nalam, Vikas Chandra, Robert C. Aitken, Benton H. Calhoun Dept. of ECE, University of Virginia, Charlottesville; ARM R&D, San

More information

Reconfigurable Energy Efficient Near Threshold Cache Architectures

Reconfigurable Energy Efficient Near Threshold Cache Architectures Reconfigurable Energy Efficient Near Threshold Cache Architectures Ronald G. Dreslinski, Gregory K. Chen, Trevor Mudge, David Blaauw, Dennis Sylvester University of Michigan - Ann Arbor, MI {rdreslin,grgkchen,tnm,blaauw,dennis}@eecs.umich.edu

More information

Comparative Analysis of Contemporary Cache Power Reduction Techniques

Comparative Analysis of Contemporary Cache Power Reduction Techniques Comparative Analysis of Contemporary Cache Power Reduction Techniques Ph.D. Dissertation Proposal Samuel V. Rodriguez Motivation Power dissipation is important across the board, not just portable devices!!

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

STM8L and STM32 L1 series. Ultra-low-power platform

STM8L and STM32 L1 series. Ultra-low-power platform STM8L and STM32 L1 series Ultra-low-power platform 8-bit and 32-bit MCU families 2 Flash (bytes) 2 M 1 M 128 K 16 K 8-bit Core STM8S Mainstream STM8A F and STM8AL Automotive STM8L Ultra-low-power 32-bit

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Optimizing Standby

Optimizing Standby Optimizing Power @ Standby Memory Benton H. Calhoun Jan M. Rabaey Chapter Outline Memory in Standby Voltage Scaling Body Biasing Periphery Memory Dominates Processor Area SRAM is a major source of static

More information

Realtek Ameba-1 Power Modes

Realtek Ameba-1 Power Modes Realtek Ameba-1 Power Modes Table of Contents 1 Power State... 3 1.1 Deep Sleep Mode... 3 1.2 Deep Standby Mode... 4 1.3 Sleep Mode... 4 1.3.1 Wakeup from sleep mode by UART... 4 1.3.1.1 Solution A, select

More information

System Reset IC with delay Monolithic IC PST89XB Series

System Reset IC with delay Monolithic IC PST89XB Series System Reset IC with delay Monolithic IC 89XB Series Outline This IC is a reset IC for turning on/off power supply and power flicker in CPU or logic systems. This IC can change delay time by an external

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

CC26xBxA Bluetooth Smart and IoT Module

CC26xBxA Bluetooth Smart and IoT Module CC26xBxA Bluetooth Smart and IoT Module Features Fully compliant to Bluetooth Low Energy 5.0 (CC264BxA / CC264BxA-S) and 4.2 / 4.1 / 4.0 specifications High efficiency on-module printed PCB RF antenna

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016 FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN SITRI FDSOI workshop l 08/09/2016 OUTLINE FDSOI technology overview From bulk to UTBB-FDSOI FDSOI technology flavors Comparison between the different

More information

EnerChip CC CBC3105. EnerChip CC with Integrated Power Management. Product Discontinued - Not for New Designs

EnerChip CC CBC3105. EnerChip CC with Integrated Power Management. Product Discontinued - Not for New Designs Product Discontinued - Not for New Designs EnerChip CC CBC3105 EnerChip CC with Integrated Power Management Features Power Manager with Charge Control Integrated 5µAh Solid State Battery Built-in Energy

More information

A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array. Mingu Kang, Sujan Gonugondla, Naresh Shanbhag

A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array. Mingu Kang, Sujan Gonugondla, Naresh Shanbhag A 19.4 nj/decision 364K Decisions/s In-Memory Random Forest Classifier in 6T SRAM Array Mingu Kang, Sujan Gonugondla, Naresh Shanbhag University of Illinois at Urbana Champaign Machine Learning under Resource

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici zradu@eecs.berkeley.edu http://www.eecs.berkeley.edu/~zradu Department of Electrical Engineering and Computer Sciences University

More information

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations Features Single 2.7V - 3.6V Supply Serial Interface Architecture Page Program Operation Single Cycle Reprogram (Erase and Program) 2048 Pages (264 Bytes/Page) Main Memory Two 264-Byte SRAM Data Buffers

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

COL862 - Low Power Computing

COL862 - Low Power Computing COL862 - Low Power Computing Power Measurements using performance counters and studying the low power computing techniques in IoT development board (PSoC 4 BLE Pioneer Kit) and Arduino Mega 2560 Submitted

More information

Spiral 2-9. Tri-State Gates Memories DMA

Spiral 2-9. Tri-State Gates Memories DMA 2-9.1 Spiral 2-9 Tri-State Gates Memories DMA 2-9.2 Learning Outcomes I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

CD4010C Hex Buffers (Non-Inverting)

CD4010C Hex Buffers (Non-Inverting) Hex Buffers (Non-Inverting) General Description The CD4010C hex buffers are monolithic complementary MOS (CMOS) integrated circuits. The N- and P-channel enhancement mode transistors provide a symmetrical

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

SGM2020 Low Power, Low Dropout, RF - Linear Regulators

SGM2020 Low Power, Low Dropout, RF - Linear Regulators GENERAL DESCRIPTION The series low-power, low-noise, low-dropout, CMOS linear voltage regulators operate from a 2.5V to 5.5V input voltage. They are the perfect choice for low voltage, low power applications.

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators

DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan, Puneet Gupta, Andrew B. Kahng and Liangzhen Lai UC San Diego ECE and CSE Departments, La Jolla,

More information

A Low Power SRAM Cell with High Read Stability

A Low Power SRAM Cell with High Read Stability 16 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 A Low Power SRAM Cell with High Read Stability N.M. Sivamangai 1 and K. Gunavathi 2, Non-members ABSTRACT

More information

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Eight

More information

Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES

Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES 2-9.1 Learning Outcomes 2-9.2 Spiral 2-9 Tri-State Gates Memories DMA I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

Design of Read and Write Operations for 6t Sram Cell

Design of Read and Write Operations for 6t Sram Cell IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 1, Ver. I (Jan.-Feb. 2018), PP 43-46 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Read and Write Operations

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Shantha Devi.P 1, Vennila.P 2, Ramya.M 3, Krishnakumar.S 4 1PG Scholar,Department of ECE,Theni Kammavar Sangam College of Technology,Tamilnadu,India.

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

GT24C02. 2-Wire. 2Kb Serial EEPROM (Smart Card application)

GT24C02. 2-Wire. 2Kb Serial EEPROM (Smart Card application) ADVANCED GT24C02 2-Wire 2Kb Serial EEPROM (Smart Card application) www.giantec-semi.com a0 1/19 Table of Content 1 FEATURES...3 2 DESCRIPTION...4 3 PIN CONFIGURATION...5 4 PIN DESCRIPTIONS...6 5 BLOCK

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Article begins on next page

Article begins on next page Title: A 19.4 nj/ 364K s/s in-memory random forest classifier in 6T SRAM array Archived version Accepted manuscript: the content is identical to the published paper, but without the final typesetting by

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

Typical Applications: GHz Bluetooth low energy systems - Proprietary 2.4 GHz systems - Sports and leisure equipment - Mobile phone accessories,

Typical Applications: GHz Bluetooth low energy systems - Proprietary 2.4 GHz systems - Sports and leisure equipment - Mobile phone accessories, PTR5618 Coin-size Ultra Low Power Bluetooth Low Energy System on Module Embedded Cortex M4F 32 bit processor The PTR5618 ultra-low power Bluetooth Low Energy/ANT/2.4GHz Proprietary Multi-protocol modules

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 10 -- Cache I 2014-2-20 John Lazzaro (not a prof - John is always OK) TA: Eric Love www-inst.eecs.berkeley.edu/~cs152/ Play: CS 152 L10: Cache I UC

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be covered in this presentation. 1 Please note that this

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Rechargeable Solid State Energy Storage: 50µAh, 3.8V. 1 V+ 4 V- 2,3 NIC 5-16 NIC Note: NIC = No Internal Connection CBC050 Schematic - Top View

Rechargeable Solid State Energy Storage: 50µAh, 3.8V. 1 V+ 4 V- 2,3 NIC 5-16 NIC Note: NIC = No Internal Connection CBC050 Schematic - Top View Product Discontinued - Not for New Designs EnerChip CBC050 Rechargeable Solid State Energy Storage: 50µAh, 3.8V Features All Solid State Construction SMT Package and Process Lead-Free Reflow Tolerant Thousands

More information