Circuits Multi-Projets

Size: px
Start display at page:

Download "Circuits Multi-Projets"

Transcription

1 Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production Grenoble - France

2 Available Processes Process Name Process Feature C35B4C3 0.35µ CMOS 3.3V / 5.0V C35B4C2 0.35µ CMOS 3.3V C35B4O1 C35B4OA S35D4M5 C35B4M3 H35B4D3 ac18a6 ah18a6 BYE / BYQ 0.35µ CMOS-Opto ARC 0.35µ CMOS-Opto BARC 0.35µ SiGe BiCMOS 0.35µ CMOS-RF 0.35µ HV-CMOS 0.18µ CMOS 0.18µ HV-CMOS 0.8µ BiCMOS (available on request) 2

3 CMOS 0.35µ C35 (C35B4C3) Process (0.35µ CMOS) 2 Layers Polysilicon, 4 Layers Metal, 3.3V / 5.0V, High Resistive Poly. 3.3V / 5.0V I/O pads. Peripheral cells with high driving capability (from 1mA to 24mA) Application : Analog, Digital, Mixed A/D, RF. Density : 18 kgates/mm 2 Gate Delay: 100ps (NAND2 typical) Libraries : Digital and Analog Standard Cells + Pads + P-Cells. CORELIB qualified for 1.8V / 2.2V / 2.7V / 3.3V CORELIB_V5 qualified for 2.0V / 3.0V / 4.0V / 5.0V Thick Metal and MIM available in C35B4M3. 4 MPW runs scheduled in

4 CMOS-Opto 0.35µ (ARC) CMOS-Opto 0.35µ (C35B4O1) Planarization and anti-reflective coating allows better optical features. P-Epi wafers for lowering current leakage in the diode (lower dark current). Anti reflective coating Fox P+ P- metal4 via3 metal3 via2 metal2 via1 metal1 contact PHOTO_DIODE n+ n- P+ P- P- Epi P- substrate Design-kit compatible with the 4 layers metal process option C35B4. Every C35 MPW run planned by CMP includes the CMOS-Opto option. 4 MPW runs scheduled in

5 CMOS-Opto BARC C35B4OA CMOS-Opto 0.35µ with Bottom Anti-Reflective Coating (BARC) (C35B4OA) Bottom Anti-Reflective Coating allows better sensitivity than ARC. P-Epi wafers for lowering current leakage in the diode (lower dark current). Cross-section of a photo-diode (BARC process option) 4 MPW runs scheduled in

6 Process (0.35µ SiGe) SiGe HBT-BiCMOS 0.35 µ S35D4M5 4 Layers Polysilicon / 4 Layers Metal. Power supply voltage range (2.5V 3.6V / 5.5V) Vertical SiGe-HBT NPN : Ft = 70 GHz High Resistive Polysilicon. Poly1/Poly2 capacitors MIM capacitors Thick Top Metal 3 MPW runs scheduled in

7 Process (0.35µ HV-CMOS) HV CMOS 0.35 µ H35 (H35B4D3) 2 Layers Polysilicon, 4 Layers Metal, High Resistive Poly, Thick 4 th Metal. 20V / 50 V / 120 V Maximum operating voltage. 3.3V / 5.0V / 20V Maximum gate voltage. R on = 0.11 Ohm mm 2 for HV-NMOS 4 MPW runs scheduled in 2018 R on = 0.29 Ohm mm 2 for HV-PMOS NMOS50 (50V) PMOS50 (50V) NMOS120 (120V) PMOS120 (120V) NMOSI50 (50V) VERTN1 Isolated 3.3V / 5V Standard 3.3V / 5V 7

8 - CMOS technology with 3 mask level adders for HV - Three gate oxides available: 1.8, 5V and 20V - 6 metal levels (last metal: 4 µm Al power metal) - Full set of 20 V and 50 V LDMOS devices - Low Rdson < 14 m *mm V BVDSS < 130 m *mm V BVDSS V and 5 V floating logic (N/PFET) - High-voltage vertical NPN & PNP bipolar transistors - Isolated JFET - High-voltage VN capacitors (20-50V) - High voltage well based resistors - 1 kv, 2 kv and 4 kv HBM ESD protection structures - OTP (Efuse) - Tool for safe operating area check (SOAC) 0.18µ CMOS & High-Voltage CMOS 2 MPW runs scheduled in

9 Runs in 2017 Number of prototypes in 2017 : 70 (87 in 2016) Number of Low volume prod. in 2017 : 16 (25 in 2016) 38 scheduled MPW runs (29 in 2016) 8 extra runs (Production) (3 in 2016) CMOS 47 (35 in 2016) 67% (40% in 2016) 2017 CMOS SiGe HV-CMOS MEMS MEMS Bulkmicromachining 2 (0 in 2016) 3% (0% in 2016) SiGe 9 (27 in 2016) 13% (31% in 2016) HV-CMOS 12 (25 in 2016) 17% (29% in 2016) 2016 CMOS SiGe HV-CMOS 9

10 From layout to chips Runs Histogram Prototypes Productions

11 Low Volume Productions (2017) Run / Techno Company Qty Package A35C14_4 (0.35um CMOS) University of Oulu 260 QFN24 SA35C17_1 (0.35um CMOS) SONICSMITH 6 wafers ( parts) QFN32 SA35C13_1 (0.35um CMOS) CEA Saclay QFP128 A18V17_1 (0.18um CMOS) Linköping University A35C17_3 (0.35um CMOS) ID-MOS A18V17_4 (0.18um CMOS) ENSI Caen - LPC 50 - A35S17_3 (0.35um SiGe) IM2NP A35V17_4 (0.35um HV) Siliconsortium 75 - SA35C17_3 (0.35um CMOS) Noptel / University Oulu 6 wafers (1 000 parts) QFN24 SA35S17_1 (0.35um SiGe) Thales SA 12 wafers ( parts) QFN40 SA35S16_1 (0.35um SiGe) OMEGA / IN2P3 12 wafers (8 350 parts) - SA35S16_1 (0.35um SiGe) LPSC / IN2P3 800 PQFP64 SA35V12_1 (0.35um HV) Thales SA 6 wafers (8 800 parts) LQFP64 SA35V12_2 (0.35um HV) Aptasic 6 wafers - SA35V12_2 (0.35um HV) Aptasic 6 wafers - A35C16_2 (0.35um CMOS) Siliconsortium

12 Success Story : SONICSMITH AMS 0.35um CMOS QFN32 package parts 12

13 Conclusion Comprehensive Process portfolio : CMOS, CMOS-Opto, CMOS-RF, SiGe, HV-CMOS, Prototype s projects number decreasing in A strong increase of parts quantities in low volume productions. Continuing the strong partnership and collaboration CMP / ams AG. 13

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. 700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. Dr. Shye Shapira Director of Global Power Management Research and Development TowerJazz April

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs EM MICROELECTRONIC offers ALP018 for MPW service through CMP Christian Terrier ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs Creating integrated Circuits since Products

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process Tao-Yi Hung and Ming-Dou Ker Institute of Electronics, National Chiao Tung University, Hsinchu, Taiwan Abstract- ESD protection design

More information

Panasonic Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic 34310 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera For comments, questions, or more information about this

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation Mixed-Signal/RF-0.18μm CSMC 0.18 micron process is process-matched to other foundry. CSMC logic process provide generic and low power process. 0.18 micron process provide 1.8V operation voltage core device,

More information

Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s

Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s René F. Scholz *, B. Senapati*, A. Chakravorty #, D. Knoll *, C.K. Maiti # * IHP, Frankfurt (Oder), Germany # IIT, Kharagpur,

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review November 21, 2005 Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

FOUNDRY SERVICES. RF & mm-wave Applications. 1GHz 2GHz 5GHz 10GHz 20GHz 50GHz 100GHz

FOUNDRY SERVICES. RF & mm-wave Applications. 1GHz 2GHz 5GHz 10GHz 20GHz 50GHz 100GHz FOUNDRY SERVICES UMS has developed a proven family of GaAs based processes for high performance low noise and high power MMICs. These processes are extensively used by foundry customers and by UMS to offer

More information

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology TSMC Open Innovation Platform 2011 Applications like motor control, power management and conversion,

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

Luxtera PN Silicon CMOS Photonic Chip Freescale 130 nm SOI CMOS Process

Luxtera PN Silicon CMOS Photonic Chip Freescale 130 nm SOI CMOS Process Luxtera PN1000001 Silicon CMOS Photonic Chip Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in this

More information

4 keys Touch Pad Detector IC

4 keys Touch Pad Detector IC 4 keys Touch Pad Detector IC Outline The TonTouch TM IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

FOUNDRY Services. Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015

FOUNDRY Services. Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015 FOUNDRY Services Vincent HIRTZBERGER ASH2V Consulting RADIOCOMP MOSCOW 18 th February 2015 UMS at a glance European source of RF MMIC solutions, GaAs and GaN foundry services. Founded in 1996 by gathering

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

IBG Protection for Anti-Fuse OTP Memory Security Breaches

IBG Protection for Anti-Fuse OTP Memory Security Breaches IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview Anti-Fuse Memory IP is considered by some to be the gold standard for secure memory. Once programmed, reverse engineering methods will

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate DE09 DIGITALS ELECTRONICS 3 (For Mod-m Counter, we need N flip-flops (High speeds are possible in ECL because the transistors are used in

More information

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process Numonyx JSPCM128A00B85ES 90 nm BiCMOS PCM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview A global problem that impacts the lives of millions daily is digital life security breaches. One of the

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4.

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4. PRODUCT DESCRIPTION UMD1423 is a Pi filter array with TVS diodes for ESD protection. This device has six Pi filters integrated along with four channels of ESD protection. The Pi filters have values of

More information

Canon Digic II CH Digital Image Processor Structural Analysis

Canon Digic II CH Digital Image Processor Structural Analysis March 3, 2005 Canon Digic II CH4-6270 Digital Image Processor Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

2 keys Touch Pad Detector IC

2 keys Touch Pad Detector IC 2 keys Touch Pad Detector IC Outline The TonTouch TM IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

The ASIC Company. Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design

The ASIC Company. Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design The ASIC Company Leading Edge Silicon Proven IP Innovation & Quality Industrial Automotive Medical Consumer Key Facts ASIC CCE4170 Digital Analog 8/16/32-Bit Microcontroller Cores (ARM, ARC, MSP430, 8051)

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

The ASIC Company. Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design

The ASIC Company. Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design The ASIC Company Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design IP Industrial Automotive Medical Consumer 8/16/32-Bit Microcontroller Cores (ARM, ARC, MSP430, 8051) NVM solutions

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Analog ASICs in industrial applications

Analog ASICs in industrial applications Analog ASICs in industrial applications Customised IC solutions for sensor interface applications in industrial electronics the requirements and the possibilities Synopsis Industrial electronics creates

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

HiPAC High Performance Actives & Passives on Chip

HiPAC High Performance Actives & Passives on Chip HiPAC High Performance Actives & Passives on Chip Passive Integration on Silicon Solutions & Target Components to be integrated Solutions Resistors Inductors Transformers Capacitors Diodes Transistors

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

2018 Europractice MPW runs Schedule and Prices. Accessible for universities & research institutes Version v6.0

2018 Europractice MPW runs Schedule and Prices. Accessible for universities & research institutes Version v6.0 2018 mini@sic Europractice MPW runs Schedule and Prices Accessible for universities & research institutes Version 180507- v6.0 www.europractice-ic.com mini@sic Through the mini@sic program the EUROPRACTICE

More information

Conference paper ESD Protection Solutions for High Voltage Technologies

Conference paper ESD Protection Solutions for High Voltage Technologies Conference paper ESD Protection Solutions for High Voltage Technologies EOS/ESD symposium 4 There is a trend to revive mature technologies while including high voltage options. ESD protection in those

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes 58 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 3, NO. 3, SEPTEMBER 2003 SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

More information

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Data sheet IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Sofics has verified its TakeCharge ESD protection clamps on TSMC 28nm

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS BY NICHOLAS ALLEN OLSON DISSERTATION Submitted in partial fulfillment of the requirements for the

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Printed and Flexible Devices for Smart Systems On Foils

Printed and Flexible Devices for Smart Systems On Foils Printed and Flexible Devices for Smart Systems On Foils M. Bedjaoui CEA/LITEN 5 OCTOBRE 2012 CEA 10 AVRIL 2012 PAGE 1 Table of content CEA/LITEN Energy storage solutions on foil Electrochromic devices

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Applications Emerging to Employ Embedded Non- Volatile Memory

Applications Emerging to Employ Embedded Non- Volatile Memory Applications Emerging to Employ Embedded Non- Volatile Memory May 2011 By: Linh Hong Vice President of Marketing Kilopass Technology Inc. www.kilopass.com To learn more about Kilopass, stop by the Chip

More information

SN8200 LI+ DUAL BATTERY CONTROLLER

SN8200 LI+ DUAL BATTERY CONTROLLER LI+ DUAL BATTERY CONTROLLER GENERAL DESCRIPTION The SN8200 is a highly integrated IC to serve as the control logic for a system with multiple power sources. It integrates a mini-charger s path power MOS

More information

Agilent 1GC GHz Integrated Diode Limiter TC231P Data Sheet

Agilent 1GC GHz Integrated Diode Limiter TC231P Data Sheet Agilent 1GC1-8235 0-20 GHz Integrated Diode Limiter TC231P Data Sheet Features Two Independent Limiters for Single ended or Differential Signals Can be Biased for Adjustable Limit Level and Signal Detection

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

Preliminary TTP224N-FO8 TonTouch TM 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP224N-FO8 TonTouch TM IC is capacitive sensing design specif

Preliminary TTP224N-FO8 TonTouch TM 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The TTP224N-FO8 TonTouch TM IC is capacitive sensing design specif 1 KEY TOUCH PAD DETECTOR IC GENERAL DESCRIPTION The IC is capacitive sensing design specifically for touch pad controls. The device built in regulator for touch sensor. Stable sensing method can cover

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis

Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis May 26, 2004 Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information