AMIS CDNLive Paper: A DFII Based Place and Route Interface

Size: px
Start display at page:

Download "AMIS CDNLive Paper: A DFII Based Place and Route Interface"

Transcription

1 Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17

2 Introduction Chapter 1: Overview AMI Semiconductor (AMIS) mixed-signal design engineers need an easy way to Place and Route (P&R) simple, small (less than 100k gates) digital blocks and chips from within their design environment (i.e. Cadence DFII). Due to cost and training requirements, the solution must minimize interaction with the P&R tool. This paper discusses a DFII based user interface created to allow mixed-signal design engineers easy access to Nano Encounter DBS via generated scripts. Additionally, planned enhancements are discussed in the last chapter. Benefits The P&R interface assists the user in gathering, formatting and constraining the data necessary for P&R. It abstracts the tasks to a level which allows the user to focus on the aspects of the P&R process most applicable to their designs, while allowing additional user customizing of scripts when necessary. Special attention is also paid to mixed-signal needs, such as floorplanning and multiple power domain assignment/verification. Time-to-market is improved, since formal hand-offs to a dedicated P&R group are eliminated for simple blocks or chips. For more complicated blocks and chips, the interface assists the designer in formatting and packaging data for hand-off to a dedicated P&R group. Cost NanoEncounter DBS was chosen as the P&R tool, since most mixed-signal designs are under 300k gates and therefore do not need hierarchical P&R treatment. By utilizing WAN licenses, all design centers are enabled with P&R capabilities. A script based (i.e. batch) interface was chosen to minimize Encounter usage and eliminate GUI training requirements. Guiding the engineer through P&R via a targeted GUI and generated scripts also allows P&R of basic blocks/chips, without requiring a dedicated P&R engineer or extensive Encounter knowledge. Limited floorplanning and visualization can be performed via Virtuoso XL. 2/17

3 Chapter 2: Implementation SKILL was chosen as a natural implementation language, since the interface would be used in the DFII environment. In addition, Perl scripts are used for netlist manipulation (i.e. removing supply pins, adding supply pins). The SKILL code generates the user interfaces (see chapter 3) and scripts for each task (i.e. netlist import/export, running Encounter/Conformal). The SKILL code also controls the data flow (i.e. where design data is located, how it s formatted, how it travels from one tool to the next, etc.). This allows new tools and flows to be implemented and enforced via a common, familiar user interface. The main GUI controls design tasks from synthesis to final verification. The tasks are divided into four tabs: Initial Verification, To Place&Route, From Place&Route and Final Verification. However, this paper will be limited to the P&R functionality described by the To Place&Route and From Place&Route tabs. The following chapters describe the form and applicable tabs in more detail. Implemented data checking includes netlist/script/library existence verification, inconsistent data (e.g. project setup voltage vs. cell library voltage) and stale data (e.g. verifying that layout views are older than abstract LEF). The generated user interfaces guide the engineer through completing the necessary tasks in their required order, while giving the user access to the most common switches for easy customization. To accommodate easy transitions from DFII to the command line environment, XTerm buttons are provided on each form, which launch a terminal in the relevant run directory. All interface settings are saved in a cell.setup file, allowing one or more engineers to resume previous sessions. However, by design, it does not allow multiple engineers to use different settings for tasks operating on the same cell. 3/17

4 Chapter 3: Digital Integration Form The top half of the form (figure 1) contains tools and settings that feed the functions found in the lower (tabbed) half of the form. The pull-down menus at the top of the form represent optional tasks associated with the other tab functions. They are divided by function: Window, Tools, Utilities, and AMIS Help. The Design context info box designates the operational cell with its associated constraints and directory structure. Functionality of the top half of the form is described in more detail in the next sections, while the relevant tabs on the bottom half of the form are discussed in the next two chapters. Figure 1 (note: print to see full resolution): Window Menu: The Window menu currently contains Close, which will close the Integration window. Tools Menu: The Tools menu organizes various custom tools used during the circuit design process to prepare data for use in this integration environment. Pad Tools, IP Tools, Check Cell and Create Abstract are custom tools created by AMIS, which allow the designer to create the top level schematic, including pad ring, add any necessary digital or analog IP, check that all custom cells are ready for P&R and generate usable abstracts respectively. Utilities Menu: Utilities are optional tasks which can operate across multiple tabs. The Job utility monitors tasks executed from the integration tool, allowing the user to kill any stalled tasks. The Batch utility allows batch execution of any/all tasks called in the integration form, along with user created post-processing scripts. This use model allows the user to initially setup and run the tasks from the tabs. After initial setup, as changes are made to the design data, the tasks can be executed in batch mode, reproducing and verifying the design steps. AMIS Help Menu: The AMIS Help menus link to context sensitive help in the user guide and methodology flow diagrams. 4/17

5 Design context info The Design context info box (figure 2) lists settings which affect all tabs beneath the box, such as the design/cell being addressed, corresponding constraints and relevant run directory functions. Design Setup sets the DFII context Path to cds.lib, Library, Top Cell, and CellView (see next section). Constraints opens an interface to create/add SDC files and manage PVT points. These constraints feed the functions and script generators found on the other tabs. The Get button invokes the global function indicated (e.g. Xterm, clean or logs) in the cell specific run directory indicated. This allows the user to easily switch to a command line interface, check logs or delete all files in the directory indicated. Figure 2: Constraints Form: This form (figure 3) allows the user to import or generate the SDC Files, used during STA and P&R. Process voltage temperature (PVT) information is not included in the SDC files, since it is set according to library and automatically inserted in STA and P&R scripts. PVT for the SC Library and Pad Library may be set via the associated tables. Metals allows the user to limit the number of metals used during P&R. The Ports button opens a form (see next section) to allow the user to edit port information, generating the SDC file listed in the Mode Template. The Edit button can be used to add constraints not covered by the template. Existing SDC files can be loaded via the Load button and then be manually edited to remove PVT data via the Edit button. The Add button adds the Import SDC file to the verification list. Figure 3: 5/17

6 Port Constraints Form: This form (figure 4) allows the user to edit parameters associated with each pin. The Update button gets the pins from the DFII cell symbol, enters defaults (i.e. type, load, delay, related clock) for new pins, and lists the pin name, direction and properties for each pin. The Modify button changes the current values associated with the selected pins to the values at the bottom of the form, according to the Type selected (a - is placed in irrelevant fields). Get Tester Load and Get buf Load/Drive enters the characterized load from the listed ATE or selected standard cell buffer pin load (respectively) in the Load column edit box. Upon completion (i.e. Window->Save/Close), the form will create the SDC file listed on the Constraints Form Mode Template. For signal ports (i.e. ports with Type set to signal), loading, delay, and related virtual clock information is implemented in the SDC file. Supply pins are not included in the SDF file. A set_case_analysis statement is created for pin types clear and set. For clock pins, both a clock and a virtual (related) clock are created with the specified parameters (load and delay are irrelevant). Other information, such as generated clocks, embedded test pins and false paths can be added to the SDC file manually. Figure 4: 6/17

7 Chapter 4: To Place&Route Tab Figure 5: This tab (figure 5) automates the hand-off from design to P&R. Export Netlist exports the Verilog netlist and generates Liberty loading models for each custom cell. Check Design runs both standard and AMIS customized logical checks for any architecture issues. STA runs static timing analysis on the pre-layout netlist, using the SDC files listed in the Constraints form. Scan insertion integration is not currently available (hence the greyed out Insert Scan, LEC and STA buttons), but should be performed after export, before pre-layout STA. Automating scan insertion, along with JTAG and ATPG, will be added as an enhancement. The P&R Requirements button invokes a form to setup P&R constraints. Those constraints can then be sent to either the Central P&R team or directly to Encounter for batch P&R, in the case of a simple circuit. The later case is similar to running P&R, along with the tasks on the From P&R tab, but is not recommended for blocks or chips needing significant floorplanning or complicated CTS. To accommodate more complicated blocks/chips, Tar for Transfer uses tar and gzip to create an archive file intended to be handed off from remote design centers to Central P&R (or CAD for bug evaluation). View Report allows the user to verify there were no issues during the creation of the archive file. Netlist export and P&R requirements are discussed in more detail next. 7/17

8 Logic Export Form: This form (figure 6) exports the Verilog netlist (via si) and creates Liberty files (for custom cells with AmisCustom views), used by STA and Encounter. It also creates a custom.vams netlist, which can be referenced as a Verilog simulation library in the appropriate simulator. The custom.vams contains either Verilog models, if they were available during IP or pad import (using the corresponding AMIS import tools), or empty (i.e. skeleton) modules if no model was imported. Figure 6: Note: An AmisCustom view has a property bag containing pin information (i.e. pin loading, type, etc.) for each custom block (i.e. memory, analog, pad) in DFII. It also acts as a stopping view for the Verilog netlister and an identification view for semi-automated abstract generation and power extraction. Update Cell List searches the schematic hierarchy for Amis- Custom views and then returns the library, cell and category for each custom cell it finds. Vlog Options controls the netlisting view/stop lists. The XTerm button opens an xterm in the associated run directory. Check Cells runs a series of checks to verify that DFII cell views (i.e. symbol, schematic, layout, AmisCustom) are consistent for P&R. Results of the check can be viewed via View Report. 8/17

9 P&R Requirements Form: This form (figure 7) allows the user to input P&R constraints at either the digital block or chip level. The entered information can then be either transferred to Central P&R (via the Tar for Transfer button on the To Place&Route tab), or used to run NanoEncounter DBS in batch mode (batch mode not recommended for chip level P&R or digital blocks requiring complex floorplanning/cts). The form is divided, according to the associated aspects of P&R, into five tabs ( Spare Cell, Boundary, Placement, Routing, and Encounter ). Each tab is described in the next sections. Figure 7: Spare Cell (JIC) Tab: This tab allows the user to add spare cells or Just In Case logic to the netlist before P&R. Spare Cell List: shows the cells currently chosen to be added to the netlist as JIC. Tieoff cell shows whether the spare cell inputs will be tied high or low (outputs float). The JIC netlist is automatically generated and added into the main netlist by pressing Build JIC. The Browse button will open a list of cells to choose. Add appends the listed Cell and associated Quantity to the Cell List. Likewise, Remove deletes the selected cell from the Cell List. JIC From Encounter lists the available spare cells found in the Encounter database (for ECOs). 9/17

10 Boundary Tab: This tab (figure 8) allows the user to set basic boundary related parameters. Constrain core by specifies the core perimeter of the block or chip by either Aspect Ratio or X / Y. Note: If X and Y dimensions are used, the Aspect Ratio is ignored. The die dimensions are core dimensions + IO to Core spacing + pads (if applicable). button can be used to append the settings to the list. Likewise, blockages selected in the list can be removed via the Remove button. Similarly, the Remove All button may be used to delete the entire Blockage list. Figure 8: Pin Placement can be taken from either the Pad Tools (if chip level) or the cell s Virtuoso layout_fp view. If neither are available, an Encounter pin template can be generated in either sequence (i.e. order based) or location (i.e. X/Y) format. The Edit button allows the user to fine tune the pin file, if necessary. Note: If no file is listed, pins will be auto-placed, during P&R. Placement and/or metal blockage can be added to the Obstructions area of the form. The Blockage List shows the current blockages that will be set for Encounter P&R. If a layout view exists, containing blockage (i.e. metal1, 2, etc. or BNDRY layer for placement), the blockage locations can be automatically extracted from the DFII layout view and entered into the Blockage List via Get Blockage (all metal and BNDRY rectangles in the layout will be extracted as blockage). To manually add a new blockage, set the applicable Metal (i.e. all, none, metal1, metal2, etc.) blockage and check the Placement box if standard cells should not be placed in the box described by the coordinates llx through ury (e.g. lower left X location and upper right Y location respectively). Once the type of blockage and bounding box have been set, the Add Since Placement blockage eliminates standard cell rows in the specified bounding box, it can be used to create non-rectangular (i.e. rectilinear) digital block boundaries. For example, if a T shape is desired, add placement blockage to the lower left corner and lower right corner of the rectangular digital block (power bussing will follow the remaining standard cell rows). Note: Row Select is calculated as the center of the specified X/Y boundary when the Generate from X/Y boundary button is pressed. This specifies a point to select a remaining standard 10/17

11 cell row, allowing the supply bussing to follow the created rectilinear boundary created by Placement blockage. If the X/Y point shown conflicts with Placement blockage (i.e. in the case of a U shape), enter a point not contained by any Placement blockage bounding box. Placement Tab: The Abstract Instance box (figure 9) shows all macro instances in the design. If the design only contains standard cell logic, this box will be empty. The modifiable fields associated with this box allow the user to floorplan each instance relative to another instance or core boundary area. The Update button extracts the custom block instances from the design (designated via AmisCustom view), while the Modify button changes the current values listed to the new values selected at the bottom of the form. Quad sets the quadrant (e.g. Top Right, Bottom Left, etc.) of the Reference Object (e.g. Top_Core_Boundary or Abstract Instance ) Corner set. Xsp sets the spacing offset in the X direction (i.e. how much space will be between the Abstract Instance and Reference Object ). Likewise, Ysp sets the spacing offset in the Y direction. Orient sets the Abstract Instance urination (e.g. R0 = no rotation, R90 = 90 degree rotation, MX = mirror X, MY270 = mirror Y and rotate 270 degrees). Group sets the group number of the Abstract Instance. Set multiple instances to the same group if the associated supply bussing should surround the entire group, rather than individual instances (make sure they all share the same supply buses). Note: Relative floorplan assumes a single anchor for each group (i.e. only one instance referencing a core boundary per group) and no reference loops (i.e. instance1 references instance2, which references instance1). Alternately, absolute locations can be specified by checking the Reference X,Y box and entering associated X and Y coordinates before pressing the Modify button. Plus, if any listed blocks are placed in a VirtuosoXL layout_fp view, Get Coordinates can import the locations ( Gen from Source in VirtuosoXL should be used to create the abstract instances). Figure 9: Level Shifter Setup creates the code necessary to place level shifters on power domain boundaries. Level shifters can be either manually inserted in the source schematic or automatically inserted by Encounter. Generate creates the listed Level Shifter Template. The template is set for a high voltage default domain, containing the 11/17

12 entire chip or block (i.e. including pads and analog, if present) and a low voltage cut domain (i.e. standard cell sub-block). The template places level shifters in the standard cell cut domain. The default/cut domain conditions and level shifter placement conditions can be modified as necessary via Edit. Domain Cut lists the bounding box of the power domain to be cut from the default power domain. Assign Instances lists the hierarchical names of the instances to be included in the new power domain created by Domain Cut. Routing Tab: This tab (figure 10) lists special considerations given to both power and signal nets. In mixed-signal design, multiple power domains are common, so care must be taken to insure that cell and pad supplies get routed correctly, per the schematic. Unfortunately, netlisting (both export and import) is a common place for supply information to be lost or modified. In contrast, Extract Power extracts the power connections directly from DFII schematic database, creating the power assignment Encounter Script. View Trace views the extracted power trace report, which summarizes the supply connections. Later (after post-layout netlist import), power is re-extracted and compared to the pre-layout results. The Net Options list shows the net names that need special consideration (i.e. either shielding or hand routed). The Route column specifies if the net will be shielded or exempt from auto-routing (i.e. routed by hand). If the net is routed, the Shields column shows the shield supplies to be placed on either side of the specified net. Add appends the entered Net Name, Route and Shields to the Net Options list. Likewise, the Remove button deletes any selected nets from the list. Figure 10: The Core Supply (updated by Extract Power ) box shows all supplies in the design. The Update button gets the supplies, while the Modify button changes the width of the selected supplies. Enter 0 for supplies that should not be routed as rings around their associated cells. IO to Core Spacing defaults to offset (5u) + LVDD + LVSS + spacing (1u/supply), which the user can override. 12/17

13 Encounter Tab: This tab (figure 11) is the interface to Encounter via script generation and batch mode execution. Uniquify Netlist runs an Encounter script which makes all modules unique. Localize Encounter Libraries copies all libraries to the encounter directory and updates the paths in the Config File to facilitate tar for transfer to anyone not in the local project environment. CTS SDC sets which SDC file mode should be used for CTS in the Config File. The Scan SDC file is recommended, since it is usually worst case for clock tree balancing. However, in some cases topology may dictate otherwise. This value can be set to none, if CTS should not be performed. The Config File also sets the Encounter libraries, netlist, IO placement file, and floorplan dimensions. The Generate buttons create the file listed from the form settings and project setup. The associated Edit... buttons invoke a text editor to view or modify the listed file. Guide or Timing Closure Guide). The documentation is provided to users needing to go beyond the common switch settings provided in the command file. If Metal ECO is selected, an ECO script will be created. The script imports the new data, but reuses the previous floorplan, placement and routing information. The script will also Route Supplies (if checked), substitute JIC logic and re-route the modified signal nets where necessary (if checked). Backup Db for ECO should be ran before an ECO is actually performed, in case the pre-eco design is needed again (revert, using Restore pre-eco Db ). For all level ECOs, modify the original SOCE Command File as necessary and re-run. Figure 11: The Encounter Command File Tasks control the steps included in the SOCE Cmd File (i.e. floorplanning, placement, optimization, CTS, routing, etc.). If the design context is set to the top (i.e. pad) level, Add Pad Ring Fillers can be selected. If Nanoroute is not routing well (e.g. designs with less than 4 metals), Use Wroute will switch to Wroute. The Default All, Set All and Clear All apply the stated functions to all command file task boxes. If only intermediate steps are selected (i.e. Route Nets without Floorplan or Place ), the previous design will be loaded, rather than initializing a new database. SOCE Help invokes a PDF viewer for the selected Cadence documentation (i.e. Encounter Command Reference, User View DRC and View LVS allow the user to view the results of Encounter s internal physical verification (i.e. not 13/17

14 final signoff quality) to determine if there were any issues with the P&R. Figure 12: Run Quick APAR (figure 12) operates Encounter in batch mode, using the specified Config File and Cmd File. The form also controls which tasks will be performed after P&R (i.e. DFII import, LEC, STA, PEC). Once the user chooses which tasks to run by selecting the appropriate check boxes, the Apply button will run those tasks. Upon completion, the user may review the task outputs, using the associated buttons (i.e. Open Layout, View Report, etc.). All tasks on this form can also be performed manually via the From Place&Route tab. The Select All and Clear All buttons select and clear all tasks, respectively. Note: If tasks are selected that feed other selected tasks (i.e. Extract RC and Run STA/SDF or Run NanoEncounter and any other task), the batch run becomes blocking (i.e. nothing else is executed until the initial tasks complete). 14/17

15 Chapter 5: From Place&Route Tab This tab (figure 13) is intended to be the primary interface for the hand-off back from Central P&R. The tasks listed are identical to the Quick (Batch) APAR tasks listed previously. Conformal integration via the LEC form is discussed in greater detail next. Figure 13: Import Layout streams in (via PIPO) the GDS created by Encounter, creating a layout view for the DFII database top cell. PIPO Options gives access to the stream in options. Open Layout opens the Virtuoso layout view created by Import Layout. Check Design runs both standard and AMIS customized logical checks for any architecture issues induced by P&R. LEC performs a Logical Equivalence Check between the pre and post-layout netlists. STA runs static timing analysis on the post-layout netlist and creates an SDF file from the SPEF file generated by Extract RC. Import Verilog brings the netlist back into the DFII database as structural_post and netlist_post views (via ihdl). The _post view names allow the user to revert to the original schematics by deleting these views via Undo Import. They also allow the netlisting view lists to stay current by automatically picking the _post view if available. Backup pre-eco will copy _post DFII views to _preeco views (used before beginning an ECO). Restore Pre-ECO copies the _preeco views back to _post and then deletes the _preeco views. Power Equival. Check re-runs Extract Power to verify pre/post P&R power equivalency. The results can be reviewed via View Report. 15/17

16 Logic Equivalence Check (LEC) Form: This form (figure 14) interfaces with the Cadence Conformal tool to prove logic equivalence between two Verilog netlists (either pre/post synthesis or pre/post place and route). LEC is performed after P&R, to verify that only gates were sized and buffers modified (i.e. inserted/deleted/sized) via clock tree synthesis (CTS) and timing optimization. If scan chains are reordered, logic equivalence will be affected in scan mode. OK invokes Conformal and executes the listed LEC Script. XTerm opens an xterm in the run directory. If Use GUI is checked, the Conformal GUI will be invoked after an initial LEC is performed. View Report allows the user to verify that the LEC was successful. Generate creates the command file shown in the box labeled LEC Script, while Edit invokes the default editor to edit the LEC Script in special cases where additional commands need to be used (i.e. when scan chains have been reordered). Figure 14: 16/17

17 Chapter 6: Summary This paper has outlined a solution for providing a cost effective P&R interface to mixed-signal designers. Several AMIS production devices have used the user interface to floorplan, place, CTS, and route digital blocks in minutes, rather than preparing a formal handoff and then waiting for days for a central P&R group to queue the design and return the finished product. Thus, employing this interface has improved time-to-market without significantly increasing tool cost. Tool Enhancements In the future, moving from the CDB database to OpenAccess (OA) should remove LEF (for abstract and technology descriptions) from the flow, since Encounter can use the technology and abstract views from the DFII library. script generation are locked in SKILL structures. This information could be made accessible to both the DFII and shell based design environments. This would allow designers in either environment to share information, making collaboration and true mixed-signal design easier. Schematic net attributes to guide P&R (e.g. unrouted, first routed, shielded, and variable width/spacing) could be added to DFII, which would be useful for both Encounter and CCAR. Support for multiple pad libraries could also be added, but would need to allow for multiple pad heights and their associated fillers too. Virtuoso Chip Editor (VCE) looks promising for enhanced floorplanning (e.g. pre-routing and supply ring floorplanning) and visualization (e.g. floorplan and violation viewing), since it runs on OA natively (i.e. reading/writing the same database as Encounter). VirtuosoXL could provide this function too, once it understands route constructs. Ultimately, if Encounter eventually understands polygons, chip finishing (i.e. cleaning up routes, modifying supply busing, adding spare cap, etc) could be done in either environment. Methodology Enhancements Currently, files (i.e. SDC, scripts, netlists) are available to the netlist based (i.e. shell or command line) environment. However, the relation of those files to the design settings that control 17/17

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping Logic Synthesis Logic Synthesis = Translation+ Optimization+ Mapping Logic Synthesis 2 Gate-Level Optimization Logic Synthesis Flow 3 4 Design Compiler Procedure Logic Synthesis Input/Output 5 6 Design

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

The IIT standard cell library Version 2.1

The IIT standard cell library Version 2.1 The IIT standard cell library Version 2.1 Highlights - Support for AMI 0.35um library, including pads - Added Primetime and Pathmill support to IIT ASIC Flow - Support for stacked vias (for Virtuoso and

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Another view of the standard cells called the abstract view needs to generated

Another view of the standard cells called the abstract view needs to generated Abstract Generation Place and route tools do not require the full cell layout Another view of the standard cells called the abstract view needs to generated The abstract view provides information like:

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Unleashing the Power of the Command-Line Interface. Jeremy W. Webb UC Davis VLSI Computation Laboratory

Unleashing the Power of the Command-Line Interface. Jeremy W. Webb UC Davis VLSI Computation Laboratory Unleashing the Power of the Command-Line Interface Jeremy W. Webb jwwebb@ucdavis.edu UC Davis VLSI Computation Laboratory Abstract The development of complex ASIC or FPGA designs involving multiple teams

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

6 Using Constraint Manager with Other Tools Across the Allegro Platform

6 Using Constraint Manager with Other Tools Across the Allegro Platform 1 Allegro Constraint Manager User Guide 6 Using Constraint Manager with Other Tools Across the Allegro Platform Topics in this chapter include Phases in the Design Flow Design Exploration Phase (with SigXplorer)

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Cell-Based Design Flow. TA : 吳廸優

Cell-Based Design Flow. TA : 吳廸優 Cell-Based Design Flow TA : 吳廸優 dywu@viplab.cs.nctu.edu.tw 1 Outline Overview Design Flow Stage 1 RTL Development Synthesis Gate Level Simulation Design Flow Stage 2 Placement and Routing Post Layout Simulation

More information

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0. Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version 6.0 Overview This tutorial will take you through the

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

Gates-on-the-Fly fixes Logic Equivalence Check Failures

Gates-on-the-Fly fixes Logic Equivalence Check Failures Gates-on-the-Fly fixes Logic Equivalence Check Failures Logical Equivalence Checking software like Cadence s Conformal and Synopsys Formality create detailed reports of differences and errors, but it is

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN ACCELERATING CHIP-LEVEL ROUTING AND DESIGN Johnny Premkumar National Semiconductor Corporation Johnny.Premkumar@nsc.com September 2005 ABSTRACT This paper focuses on optimizing and improving time to market

More information

SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide

SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on Digital IC- Project 1 Oskar Andersson Outline Backend ASIC Design flow (Physical Design) General steps Input files Floorplanning Placement ClockTree- synthesis Rou=ng Typical Backend Design Flow Synthesis

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Release Highlights for BluePrint-PCB Product Version 3.0

Release Highlights for BluePrint-PCB Product Version 3.0 Release Highlights for BluePrint-PCB Product Version 3.0 Introduction BluePrint V3.0 Build 568 is a rolling release, containing defect fixes for 3.0 functionality. Defect fixes for BluePrint V3.0 Build

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Creating a PCB Design with OrCAD PCB Editor

Creating a PCB Design with OrCAD PCB Editor Creating a PCB Design with OrCAD PCB Editor This guide is focused on learning how to create a PCB (Printed Circuit board) design. The guide will make use of the PCB Flow menu that is part of this workshop

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

UG0787 User Guide PolarFire FPGA Block Flow

UG0787 User Guide PolarFire FPGA Block Flow UG0787 User Guide PolarFire FPGA Block Flow NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

13. LogicLock Design Methodology

13. LogicLock Design Methodology 13. LogicLock Design Methodology QII52009-7.0.0 Introduction f Available exclusively in the Altera Quartus II software, the LogicLock feature enables you to design, optimize, and lock down your design

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

PlanAhead Software Tutorial

PlanAhead Software Tutorial PlanAhead Software Tutorial RTL Design and IP Generation The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

Advance Manual ECO by Gates On the Fly

Advance Manual ECO by Gates On the Fly Advance Manual ECO by Gates On the Fly Table of Contents Abstract... 1 Preparation... 1 GUI mode... 1 Configure the database... 2 Find the equivalent nets in GUI... 2 ECO in GUI mode... 5 ECO in script

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies Introduction David Kaplan (Cadence Design Systems, Inc.) Sini Mukundan (National Semiconductor, Inc.) OpenAccess plays

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

PlanAhead Release Notes

PlanAhead Release Notes PlanAhead Release Notes What s New in the 11.1 Release UG656(v 11.1.0) April 27, 2009 PlanAhead 11.1 Release Notes Page 1 Table of Contents What s New in the PlanAhead 11.1 Release... 4 Device Support...

More information

Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0.

Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0. Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0.5 µ C5N CMOS 1. Create a folder called encounter inside of your project folder

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

PADS-PowerPCB 4 Tutorial (with Blazeroute)

PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB is the ultimate design environment for complex, high-speed printed circuit boards. PROCEDURE FOR SIMULATION IN SCHEMATICS 1. Importing Design Data

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information