Best Practices of SoC Design

Size: px
Start display at page:

Download "Best Practices of SoC Design"

Transcription

1 Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris Copyright 2014 Arteris

2 Arteris Snapshot Founded in 2003; headquarters in Silicon Valley Awards Customer Adoption Timeline of Key Events* Arteris is founded by Philippe Boucard, Alain Fanet and César Douady in Paris. Charlie Janac joins Arteris as CEO. Arteris secures funding in a round led by Synopsys and moves headquarters from Paris to Silicon Valley. Arteris ships second-generation NoC: FlexNoC. It closes funding from Qualcomm Ventures (Europe), ARM (UK) and Innotech (Japan) plus existing investors. Arteris becomes profitable. Leading smartphone models ship using Arteris interconnect technology. Arteris announces hiring of new engineering leadership team Arteris receives first funding from Crescendo Ventures, Ventech and TVM Capital. Arteris ships its first interconnect product called NoCSolution and closes US$ 1 million in licenses almost immediately. Texas Instruments selects the Arteris NoCSolution for OMAP4 Application Processor development. TI works with Arteris to productize NoC technology. Arteris starts customer relationships with Samsung and Qualcomm, ships FlexLLI Interchip Link products. A majority (~60%) of the world s mobility system-on-chip projects adopt Arteris FlexNoC Interconnect. Arteris receives Inc. 500 and other awards for rapid growth Qualcomm purchases Arteris and engineering team in unique transaction. *Timeline graphic courtesy of World Economic Forum Copyright 2014 Arteris 2

3 Active Customers +9 Unannounced Customers Copyright 2014 Arteris 3

4 is key to SoC assembly success CPU Subsystem A15 A15 A15 A15 A7 A7 A7 A7 Design-Specific Subsystems GPU Subsystem 3D Graphics DSP Subsystem (A/V) FlexWay Interconnect Application Subsystem FlexWay Interconnect AES 2D GR. MPEG L2 cache L2 cache Etc. Coherent Interconnect FlexNoC Top Level Interconnect InterChip Links TM Memory Scheduler Memory Controller Wide IO PHY LP DDR DDR3 PHY USB 3 USB 2 PHY 3.0, 2.0 Subsystem Interconnect PCIe PHY High Speed Wired Peripherals Ethernet PHY WiFi GSM LTE LTE Adv. Wireless Subsystem CRI Crypto Firewall (PCF+) RSA- PSS Cert. Engine HDMI MI Display PMU JTAG Memory Subsystem Arteris Interconnect Products Security Subsystem I/O Peripherals Copyright 2014 Arteris 4

5 Accelerate TTM by 9 to 12 months FlexNoC Exploration Structural NoC Synthesis Automated NoC Verification FlexVerifier Observability System Verilog-based assertions can be reused at system level Standard TTM is Months Interconnect Interconnect Architecture Design Verification A D V S SoC system Verification Design Integration P Physical Design T/O Platform Debug Actual Customer Experience with Arteris NoC A D V S months 1-2 days iteration P T/O FPGA Emulation Software Development 9 12 months Copyright 2014 Arteris 5

6 Best Practices Lessons learned from the most successful SoC companies 1. Internally-develop only your most important 2. Create a corporate library 3. Develop corporate design and verification methodologies 4. Use a platform and derivatives approach Copyright 2014 Arteris 6

7 1. Internally-develop only your most important Copyright 2014 Arteris 7

8 2. Create a corporate library Copyright 2014 Arteris 8

9 3. Develop corporate design and verification methodologies FlexNoC Exploration Structural NoC Synthesis Automated NoC Verification FlexVerifier Observability System Verilog-based assertions can be reused at system level Example Design and Verification Flow Interconnect Interconnect Architecture Design Verification A D V S SoC system Verification Design Integration P Physical Design T/O Platform Debug Copyright 2014 Arteris 9

10 4. Use a platform and derivatives approach Copyright 2014 Arteris 10

11 Semi vendors aren t the only one who make chips! Design Vendor Semiconductor Vendor System House or OEM Service Provider or Channel Copyright 2014 Arteris 11

12 Cash on Hand Systems Houses, Semiconductor and Design Vendors, Billions ($B) Apple Microsoft Google Samsung Sony Intel Qualcomm Amazon Ebay TI AMD Toshiba STMicroelectronics Broadcom Renesas Electronics ARM Synopsys Cadence Imagination $19.07 $18.16 $13.28 $11.45 $9.41 $3.96 $2.94 $2.59 $2.49 $2.37 $1.60 $0.91 $0.89 $0.72 $0.08 $35.16 $48.09 $68.31 $ Sources: Morningstar, Forbes, Yahoo Finance, Wikinvest Copyright 2014 Arteris 12

13 Efficient SoC assembly & reuse must become core skills for all semi vendors SoC assembly is more critical to success than creating custom s Best practices can enable semi vendors to make more and better chips, more efficiently Semi customers are becoming competitors as chip design democratizes Copyright 2014 Arteris 13

14 Copyright 2014 Arteris 14

Benefits of Network on Chip Fabrics

Benefits of Network on Chip Fabrics Benefits of Network on Chip Fabrics For Late Stage Design Changes, Adaptive QoS and Floorplan Selection Xavier Van Ruymbeke Senior Application Engineer, Arteris xavier.van-ruymbeke@arteris.com Arteris

More information

Ncore Cache Coherent Interconnect

Ncore Cache Coherent Interconnect Ncore Cache Interconnect Technology Overview, 24 May 2016 Craig Forrest Chief Technology Officer David Kruckemyer Chief Hardware Architect Copyright 2016 Arteris 24 May 2016 Contents About Arteris Caches,

More information

Arteris It s a vertical world after all

Arteris It s a vertical world after all Kurt Shuler, Vice President Arteris It s a vertical world after all November, 6 th, 2013 Semico IMPACT 2013, 6 November 2013 Copyright 2013 Arteris, Slide 1 Semico IMPACT 2013 It s a vertical world after

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Scale system performance faster than Moore s Law will currently allow K. Charles Janac MSoC Conference 2016 Nara, Japan, July 13, 2016

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset

Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset Prepared by: Nadine Manjaro nadine@beyondm2mcommunication.com @NadineManjaro @compassintel The Team Stephanie Atkinson

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Barclay s 2009 Worldwide Wireless and Wireline Conference

Barclay s 2009 Worldwide Wireless and Wireline Conference Barclay s 2009 Worldwide Wireless and Wireline Conference MAY 28, 2009 Steve Mollenkopf Executive Vice President, & President, Qualcomm CDMA Technologies Safe Harbor Before we proceed with our presentation,

More information

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007 Elastix TM Corporation Enabling energy-efficient efficient chips Vigyan Singhal President and CEO December 20, 2007 1 Electronic chip design flow always @ (posedge clk) if (sel) q

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group Mobile, Multimedia & Communications Tommi Uhari Executive Vice President MMC Group 2007 Accomplishments Leading positions* # 1 in Analog/mixed signal # 1 in 3G RF # 3 in Wireless Focus on high-growth segments

More information

RESEARCH BULLETIN MARCH 27, 2013

RESEARCH BULLETIN MARCH 27, 2013 RESEARCH BULLETIN MARCH 27, 2013 Pure-Play Foundries and Fabless Suppliers are Star Performers in Top 25 conductor Supplier ing Qualcomm and GlobalFoundries registered better than 30% growth last year.

More information

The Rubber Jigsaw Puzzle

The Rubber Jigsaw Puzzle The Rubber Jigsaw Puzzle Floorplanning for network-on-chip (NoC) Benjamin Hong ( 홍병철 ), Brian Huang ( 黃繼樟 ) presented by Jonah Probell Arteris, Inc. September 18, 2015 SNUG Austin SNUG 2015 1 Thanks to

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2)

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2) Integrated mobile to challenge standalone application, says Petrov Group (part 2) Contributed by the Petrov Group -- Wednesday 16 March 2011] http://www.digitimes.com/print/a20110315vl203.html Recently

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

RISC-V: Opportunities and Challenges in SoCs

RISC-V: Opportunities and Challenges in SoCs December 5, 2018 @qualcomm Santa Clara, CA RISC-V: Opportunities and Challenges in SoCs Greg Wright Sr Director, Engineering Qualcomm Technologies, Inc. Introductions Who am I? Why am I here? 2 Quick tour

More information

Roadmap Directions for the RISC-V Architecture

Roadmap Directions for the RISC-V Architecture Roadmap Directions for the RISC-V Architecture Andes RISC-V Con November 13, 2018 Linley Gwennap, Principal Analyst About Linley Gwennap Founder, principal analyst, The Linley Group Leading vendor of technical

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

SoC FPGAs Fuel Next Generation of IoT, Data Center and Communications Infrastructure Applications through Power Efficient Processing

SoC FPGAs Fuel Next Generation of IoT, Data Center and Communications Infrastructure Applications through Power Efficient Processing SoC FPGAs Fuel Next Generation of IoT, Data Center and Communications Infrastructure Applications through Power Efficient Processing By Jag Bolaria Principal Analyst September 2015 www.linleygroup.com

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models Technology industry Reporting Insights Advisory Services Whitepaper by TIRIAS Research June 20, 2017 There has never been a better time to build your own custom application specific integrated circuit

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

Wireless. Gilles Delfassy. Senior Vice President Wireless Terminals Business Unit

Wireless. Gilles Delfassy. Senior Vice President Wireless Terminals Business Unit Wireless Gilles Delfassy Senior Vice President Wireless Terminals Business Unit TI Wireless Revenue Growth Outpaces Industry 4 TI Wireless Revenue 40% 1000 Mobile Phone Shipments 3 32% 800 29% 45% $B 2

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Wireless Data Communication Market Research Report - Global Forecast till 2023

Wireless Data Communication Market Research Report - Global Forecast till 2023 Report Information More information from: https://www.marketresearchfuture.com/reports/1118 Wireless Data Communication Market Research Report - Global Forecast till 2023 Report / Search Code: MRFR/ICT/0612-HCRR

More information

Trends in R&D Investments Global ICT Companies 2007 to 2011

Trends in R&D Investments Global ICT Companies 2007 to 2011 Trends in R&D Investments Global ICT Companies 2007 to 2011 Alain Stekke DG INFSO Economic and Statistical Unit Georg Kelm DG INFSO Nanoelectronics Unit R&D Data 2010 Digital Competitiveness Report Eurostat

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013 Leading the 10Gig Wave Jefferies 2013 Global Technology Conference May 7, 2013 Company Snapshot Market Leader in 10GE for Big Data & Enterprise Aquantia has shipped 2 Million 10GBASE-T ports Fabless semiconductor

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

It s the Wave of the Future Jeff Ravencraft. USB-IF President & Chairman Wireless USB Promoter Group Chairman Intel Corporation

It s the Wave of the Future Jeff Ravencraft. USB-IF President & Chairman Wireless USB Promoter Group Chairman Intel Corporation It s the Wave of the Future Jeff Ravencraft USB-IF President & Chairman Wireless USB Promoter Group Chairman Intel Corporation UWB Initiative Ecosystem Convergence layer for multiple protocols 180+ companies

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Arm Architecture. Enrique Secanechia Santos, Kevin Mesolella

Arm Architecture. Enrique Secanechia Santos, Kevin Mesolella Arm Architecture Enrique Secanechia Santos, Kevin Mesolella Outline History What is ARM? What uses ARM? Instruction Set Registers ARM specific instructions/implementations Stack Interrupts Pipeline ARM

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

MPSOC Design examples

MPSOC Design examples MPSOC 2007 Eshel Haritan, VP Engineering, Inc. 1 MPSOC Design examples Freescale: ARM1136 + StarCore140e Broadcom: ARM11 + ARM9 + TeakLite + accelerators Qualcomm 4 processors + video, gps, wireless, audio

More information

Marvell Hong Kong Campus Recruitment 2015 HKUST 3/16/2015

Marvell Hong Kong Campus Recruitment 2015 HKUST 3/16/2015 Marvell Hong Kong Campus Recruitment 2015 HKUST 3/16/2015 Contents What is Marvell What Marvell Hong Kong does Why choose Marvell Q&A Marvell at a glance Key Facts Founded in 1995 by Berkeley engineers

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

The Economics of Delivering Triple Play to the Home

The Economics of Delivering Triple Play to the Home The Economics of Delivering Triple Play Panelists Boyd Peterson Senior Vice President, Consumer Research, Yankee Group Bob Bissell Consultant, CTO Group, BT Kurt Eckles Director of Marketing, Residential

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones

Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones Apps with Hardware Enabling Run-time Architectural Customization in Smart Phones Michael Coughlin, Ali Ismail, Eric Keller University of Colorado Boulder Mobile Devices Devices are designed around certain

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION page 1 / 5 page 2 / 5 fpga prototyping by verilog pdf A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence the

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

A History of Silicon Valley The Greatest Creation of Wealth in History (An immoral tale)

A History of Silicon Valley The Greatest Creation of Wealth in History (An immoral tale) A History of Silicon Valley The Greatest Creation of Wealth in History (An immoral tale) being a presentation by piero scaruffi www.scaruffi.com adapted from the book A History of Silicon Valley Piero

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

DISRUPTIVE INNOVATION IN DISPLAY CONNECTIVITY. Duesseldorf, June 2010

DISRUPTIVE INNOVATION IN DISPLAY CONNECTIVITY. Duesseldorf, June 2010 DISRUPTIVE INNOVATION IN DISPLAY CONNECTIVITY Duesseldorf, June 2010 Business Opportunity To enable billions of Displays, large and small, to be connected intelligently over simple connections such as

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Investing for Innovation. Warren East CEO

Investing for Innovation. Warren East CEO Investing for Innovation Warren East CEO 1 Growth, Opportunity and Partnership Growing faster than the market Investing in growth opportunities Working together, creating solutions 2 Growing Faster than

More information

When Girls Design CPUs!

When Girls Design CPUs! When Girls Design CPUs! An overview on one of the world s most famous CPU cores: ARM 1 Once Upon a Time There was a company in UK Acorn This company was the competitor to IBM Apple They were creating personal

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA system development What you need to think about. Frédéric Leens, CEO FPGA system development What you need to think about Frédéric Leens, CEO About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design. 2007 : GP

More information

ARM state of the future. Krisztián Flautner VP R&D, ARM

ARM state of the future. Krisztián Flautner VP R&D, ARM ARM state of the future Krisztián Flautner VP R&D, ARM Nov 30, 2009 1 Performance Energy $ 2 2 New Era of the Mobile Internet More people in the world will first interact with the Internet with mobile

More information

Standalone application processors are wining in mobility markets, says Petrov Group

Standalone application processors are wining in mobility markets, says Petrov Group Standalone application processors are wining in mobility markets, says Petrov Group Contributed by the Petrov Group -- 8 March 2011 http://www.digitimes.com/news/a20110308vl204.html Several years ago there

More information

TechNavio Infiniti Research

TechNavio Infiniti Research TechNavio Infiniti Research http://www.marketresearch.com/infiniti Research Limited v2680/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday:

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

MIPI : Advanced Driver Assistance System

MIPI : Advanced Driver Assistance System MIPI : Advanced Driver Assistance System application and system development Richard Sproul Charles Qi - Gabriele Zarri (Cadence) esame Conference Sophia Antipolis 05 October 2015 ADAS : some history FORD

More information

The Path to Embedded Vision & AI using a Low Power Vision DSP. Yair Siegel, Director of Segment Marketing Hotchips August 2016

The Path to Embedded Vision & AI using a Low Power Vision DSP. Yair Siegel, Director of Segment Marketing Hotchips August 2016 The Path to Embedded Vision & AI using a Low Power Vision DSP Yair Siegel, Director of Segment Marketing Hotchips August 2016 Presentation Outline Introduction The Need for Embedded Vision & AI Vision

More information

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a MemCon 2014 October 15 th, 2014 Achieving End- to- E nd QoS Poonacha K ongetir a (poonacha@netspeedsystems.com) Problem Statement NetSpeed Platform Overview AGE NDA QoS Primer Achieving End- to- end QoS

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

United States Embedded Processors Market Report 2017

United States Embedded Processors Market Report 2017 Published on Market Research Reports Inc. (https://www.marketresearchreports.com) Home > United States Embedded Processors Market Report 2017 United States Embedded Processors Market Report 2017 Publication

More information

Panel Discussion: The Future of I/O From a CPU Architecture Perspective

Panel Discussion: The Future of I/O From a CPU Architecture Perspective Panel Discussion: The Future of I/O From a CPU Architecture Perspective Brad Benton AMD, Inc. #OFADevWorkshop Issues Move to Exascale involves more parallel processing across more processing elements GPUs,

More information

Carlson Logo. Barlow Keener, (Moderator) Principal, Keener Law Group SWIFI 09

Carlson Logo. Barlow Keener, (Moderator) Principal, Keener Law Group SWIFI 09 Super Wi Wi Fi Fi summit splash Carlson Logo In The Chips: The Status of Silicon SWIFI 09 Dean Brenner, Sr. VP, Government Affairs, Qualcomm Jim Carlson, CEO and President, Carlson Wireless Gabriel Desjardins,

More information

Introduction CHAPTER IN THIS CHAPTER

Introduction CHAPTER IN THIS CHAPTER CHAPTER Introduction 1 IN THIS CHAPTER What Is the ARM Cortex-M3 Processor?... 1 Background of ARM and ARM Architecture... 2 Instruction Set Development... 7 The Thumb-2 Technology and Instruction Set

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

Welcome to Credence Analyst Day 2006

Welcome to Credence Analyst Day 2006 Welcome to Credence Analyst Day 2006 Forward-Looking Statements This presentation contains forward-looking statements that involve risks, uncertainties and assumptions. All statements other than statements

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator Embedded Computing Conference 2017 Matthias Frei zhaw InES Patrick Müller Enclustra GmbH 5 September 2017 Agenda Enclustra introduction

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information