Chapter 6: Hierarchical Structural Modeling

Size: px
Start display at page:

Download "Chapter 6: Hierarchical Structural Modeling"

Transcription

1 Chapter 6: Hierarchical Structural Modeling Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-1

2 Objectives After completing this chapter, you will be able to: Describe the features of hierarchical structural modeling in Verilog HDL Describe the features of Verilog modules Describe how to define and override the parameters within a module Describe the port connection rules Describe how to write a parameterized module Describe how to use generate block statements Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-2

3 Modules (p. 163 in LRM) Two major parts: Interface: communicates with the outside of the module Body: defines the functionality of the module. Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-3

4 Module Definitions // port list style module module_name [#(parameter_declarations)][port_list]; parameter_declarations; // if parameter ports are used port_declarations; other_declaration; statements; // port list declaration style module module_name [#(parameter_declarations)][port_declarations]; parameter_declarations; // if parameter ports are used other_declarations; statements; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-4

5 Port Declarations Three types: input declares a group of signals as input ports. output declares a group of signals as output ports. inout declares a group of signals as bidirectional ports. net variable net net net variable net net module adder(x, y, c_in, sum, c_out); input [3:0] x, y; // net is unsigned input c_in; // net is unsigned output reg [3:0] sum; output reg c_out; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-5

6 Parameters (p. 170 in LRM) Chapter 6: Hierarchical Structural Modeling Parameters are not variables; they are constant. cannot modify their values at run time can be modified at compile time Two types of parameters module parameters parameter localparam specify parameters (chap7, p. 211 in LRM) specparam Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-6

7 Differences between specparams amd parameters specparam declares a special type of parameters that is intended only for providing timing and delay values defparam assigns parameters using their hierarchical names Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-7

8 Constants Specified Options Chapter 6: Hierarchical Structural Modeling `define compiler directive is used to create a macro for text substitution. is usually placed at the head of a file or a separated file. can be used both inside and outside module definitions. An example: `define BUS_WIDTH 8 parameter is the most common approach used to define parameters. can be modified by defparam or module instance parameter value assignment. An example: parameter BUS_WIDTH = 8; localparam is identical to parameter but cannot be be overridden. An example: localparam BUS_WIDTH = 8; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-8

9 Parameter Ports Parameter port: parameters are placed between module name and port list/port list declarations. module module_name #(parameter SIZE = 7, parameter WIDTH_BUSA = 24, WIDTH_BUSB = 8, parameter signed [3:0] mux_selector = 4 b0 ) (port list or port list declarations)... Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-9

10 Module Instantiations In Verilog HDL, module definitions cannot be nested. A module can incorporate a copy (called an instance) of another module into itself through instantiation. One or more instances can be created in a single module instantiation statement Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-10

11 Module Instantiations Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-11

12 Port Connection Rules Port connection rules named association.port_id1(port_expr1),...,.port_idn(port_exprn) positional association port_expr1,..., port_exprn each port_expr can be an identifier (a net or a variable) a bit-select of a vector a part-select of a vector a concatenation of the above an expression for input ports Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-12

13 A Parameterized Module Parameterized modules: the features or operations of a module can be changed, called parameter override, when a module is instantiated by a higher-level module. module adder_nbit(x, y, c_in, sum, c_out); // I/O port declarations parameter N = 4; // set default value input [N-1:0] x, y; input c_in; output [N-1:0] sum; output c_out; // specify the function of an n-bit adder using generate assign {c_out, sum} = x + y + c_in; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-13

14 Module Parameters Values How to change module parameters values defparam statement module instance parameter value assignment Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-14

15 Overriding Parameters Chapter 6: Hierarchical Structural Modeling module counter_nbits (clock, clear, qout); parameter N = 4; // define counter size input clock, clear; output reg [N-1:0] qout; Using the defparam statement posedge clear or negedge clock) begin // qout <= (qout + 1) % 2^n; if (clear) qout <= {N{1'b0}}; else qout <= (qout + 1) ; end // define top level module module two_counters(clock, clear, qout4b, qout8b); input clock, clear; output [3:0] qout4b; output [7:0] qout8b; // instantiate two counter modules defparam cnt_4b.n = 4, cnt_8b.n = 8; // using a hierarchical name of the parameter counter_nbits cnt_4b (clock, clear, qout4b); counter_nbits cnt_8b (clock, clear, qout8b); Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-15

16 Overriding Parameters Using module instance parameter value assignment--- one parameter Chapter 6: Hierarchical Structural Modeling module counter_nbits (clock, clear, qout); parameter N = 4; // define counter size input clock, clear; output reg [N-1:0] qout; posedge clear or negedge clock) begin // qout <= (qout + 1) % 2^n; if (clear) qout <= {N{1'b0}}; else qout <= (qout + 1) ; end // define top level module module two_counters(clock, clear, qout4b, qout8b); input clock, clear; output [3:0] qout4b; output [7:0] qout8b; // instantiate two counter modules counter_nbits #(4) cnt_4b (clock, clear, qout4b); counter_nbits #(8) cnt_8b (clock, clear, qout8b); Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-16

17 Overriding Parameters Using module instance parameter value assignment --- two parameters module hazard_static (x, y, z, f); parameter delay1 = 2, delay2 = 5; input x, y, z; output f; wire a, b, c; // internal net // logic circuit body and #delay2 a1 (b, x, y); not #delay1 n1 (a, x); and #delay2 a2 (c, a, z); or #delay2 o2 (f, b, c); // define top level module module parameter_overriding_example(x, y, z, f); input x, y, z; output f; hazard_static #(4, 8) example (x, y, z, f); hazard_static #(.delay2(4),.delay1(6)) example (x, y, z, f); parameter value assignment by name --- minimize the chance of error! x y z a b f Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-17

18 Hierarchical Names An identifier can be defined within Modules Tasks Functions Named blocks (See Section 7.1.3) Hierarchical names 4bit_adder // top level --- 4bit_adder 4bit_adder.fa_1 // fa_1 within 4bit_adder 4bit_adder.fa_1.ha_1 // ha_1 within fa_1 4bit_adder.fa_1.ha_1.xor1 // xor1 within ha_1 4bit_adder.fa_1.ha_1.xor1.S // net s within xor1 Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-18

19 Overriding Parameters module top; reg clk; reg [0:4] in1; reg [0:9] in2; wire [0:4] o1; wire [0:9] o2; vdff m1(o1,in1, clk); vdff m2(o2, in2, clk); module vdff (out, in, clk); parameter size=1, delay=1; input [0:size-1] in; input clk; output [0:size-1] o; reg [0:size-1] out; clk) #delay out = inn; module annotate; defparam top.m1.size=5; top.m1.delay=10; top.m2.size=10; top.m2.delay=20; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-19

20 generate Block Structures The keywords used are generate and endgenerate. It is evaluated during the elaboration Generate statements allows control over the declaration of variables, functions, tasks, and module instantiation. // an example illustrating the usage of generate statement. // an example of converting Gray code into binary code. module gray2bin1 (gray, bin); parameter SIZE = 8; // this module is parameterized input [SIZE-1:0] gray; output [SIZE-1:0] bin; genvar i; // generate statement variable. generate for (i = 0; i < SIZE; i = i + 1) begin: bit assign bin[i] = ^gray[size-1:i]; end endgenerate Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-20

21 generate Block Structures The data types allowed in generate block structure: net, reg integer, real, time, realtime, event What not permitted in a generate block parameters, local parameters input, output, inout declarations specify blocks Ways to create generate blocks: generate loop (for loop) generate conditional (if else) generate case Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-21

22 The generate Loop Construct Chapter 6: Hierarchical Structural Modeling Generate loop can be nested. Within a generate loop The loop index is declared by the keyword genvar. genvar is used as an integer during elaboration to create instances of the generate block, but it does not exist during simulation time. Two generate loops using the same genvar as an index cannot be nested. A generate loop allows to instantiate modules, gate primitives, UDPs continuous assignments initial and always blocks Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-22

23 The generate Loop Construct Chapter 6: Hierarchical Structural Modeling // an example illustrating the usage of generate statement. // an example of converting Gray code into binary code. module gray2bin2 (gray, bin); parameter SIZE = 8; // this module is parameterized. input [SIZE-1:0] gray; output [SIZE-1:0] bin; reg [SIZE-1:0] bin; genvar i; // generate variable //generate loop generate for (i = 0; i < SIZE; i = i + 1) begin:bit // using to avoid synthesized warning. bin[i] = ^gray[size - 1: i]; end endgenerate ^gray[7:7]=gray[7]? Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-23

24 The generate Conditional Construct Chapter 6: Hierarchical Structural Modeling Based on an if-else-if conditional expression, a generate conditional allows to instantiate modules, gate primitives, UDPs continuous assignments initial and always blocks Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-24

25 The generate Conditional Construct --- An n-bit Adder Three examples are used to illustrate the usage of generate statement: Modules Continuous assignment always statement // define a full adder at dataflow level. module full_adder(x, y, c_in, sum, c_out); // I/O port declarations input x, y, c_in; output sum, c_out; // Specify the function of a full adder. assign {c_out, sum} = x + y + c_in; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-25

26 The generate Conditional Construct --- An n-bit Adder module adder_nbit(x, y, c_in, sum, c_out); parameter N = 4; // define n as a parameter input [N-1:0] x, y; input c_in; output [N-1:0] sum; output c_out; // specify the function of an n-bit adder using generate. genvar i; wire [N-2:0] c; // internal carries declared as nets. generate for (i = 0; i < N; i = i + 1) begin: adder if (i == 0) // specify LSB full_adder fa (x[i], y[i], c_in, sum[i], c[i]); else if (i == N-1) // specify MSB full_adder fa (x[i], y[i], c[i-1], sum[i], c_out); else // specify other bits end endgenerate full_adder fa (x[i], y[i], c[i-1], sum[i], c[i]); Instantiate modules inside generate block. Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-26

27 The generate Conditional Construct --- An n-bit Adder module adder_nbit(x, y, c_in, sum, c_out); parameter N = 4; // define N as a parameter input [N-1:0] x, y; input c_in; output [N-1:0] sum; output c_out; // specify the function of an n-bit adder using generate genvar i; wire [N-2:0] c; // internal carries declared as nets. generate for (i = 0; i < N; i = i + 1) begin: adder if (i == 0) // specify LSB assign {c[i], sum[i]} = x[i] + y[i] + c_in; else if (i == N-1) // specify MSB assign {c_out, sum[i]} = x[i] + y[i] + c[i-1]; else // specify other bits assign {c[i], sum[i]} = x[i] + y[i] + c[i-1]; end endgenerate Using continuous assignments inside generate block. Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-27

28 The generate Conditional Construct --- An n-bit Adder module adder_nbit(x, y, c_in, sum, c_out); parameter N = 4; // define N as a parameter input [N-1:0] x, y; An always block corresponds to a piece of logic. input c_in; Q: How can we specify a combinational logic or output reg [N-1:0] sum; a sequential logic? output reg c_out; // specify the function of an n-bit adder using generate genvar i; reg [N-2:0] c; // internal carries declared as nets. generate for (i = 0; i < N; i = i + 1) begin: adder if (i == 0) // specify LSB {c[i], sum[i]} = x[i] + y[i] + c_in; else if (i == N-1) // specify MSB {c_out, sum[i]} = x[i] + y[i] + c[i-1]; else // specify other bits {c[i], sum[i]} = x[i] + y[i] + c[i-1]; end endgenerate Using always blocks inside generate block. Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-28

29 The generate Conditional Construct s Complement Adder module twos_adder_nbit(x, y, mode, sum, c_out); // I/O port declarations parameter N = 4; // define N as a parameter input [N-1:0] x, y; input mode; // mode = 1: subtraction; =0: addition output [N-1:0] sum; output c_out; // specify the function of an n-bit two s complement adder using generate genvar i; wire [N-2:0] c; // internal carries declared as nets. wire [N-1:0] t; // true/ones complement outputs generate for (i = 0; i < N; i = i + 1) begin: // ones_complement_generator xor xor_ones_complement (t[i], y[i], mode); end endgenerate Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-29

30 The generate Conditional Construct s Complement Adder generate for (i = 0; i < N; i = i + 1) begin: adder if (i == 0) // specify LSB full_adder fa (x[i], t[i], mode, sum[i], c[i]); else if (i == N-1) // specify MSB full_adder fa (x[i], t[i], c[i-1], sum[i], c_out); else // specify other bits full_adder fa (x[i], t[i], c[i-1], sum[i], c[i]); end endgenerate // define a full adder at dataflow level. module full_adder(x, y, c_in, sum, c_out); // I/O port declarations output sum, c_out; input x, y, c_in; // specify the function of a full adder assign {c_out, sum} = x + y + c_in; Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-30

31 The generate Conditional Construct s Complement Adder The RTL schematic from Synplify Pro. [1] t[1] [1] [2] t[2] [2] [3] t[3] [3] [3] [3] [2] full_adder x y c_in sum c_out adder\[3\].fa [3] [3:0] sum[3:0] c_out x[3:0] y[3:0] mode [3:0] [3:0] [0] t[0] [0] [0] [0] full_adder x y c_in sum c_out adder\[0\].fa [0] [0] [1] [1] [0] full_adder x y c_in sum c_out adder\[1\].fa [1] [1] [2] [2] [1] full_adder x y c_in sum c_out adder\[2\].fa [2] [2] Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-31

32 The generate Conditional Construct s Complement Adder After dissolving the second and the third bits. [1] t[1] [1] [2] t[2] [2] [3] t[3] [3] [3] [3] [2] full_adder x y c_in sum c_out adder\[3\].fa [3] [3:0] sum[3:0] c_out x[3:0] y[3:0] mode [3:0] [3:0] [0] t[0] [0] [0] [0] full_adder x y c_in sum c_out adder\[0\].fa [0] [0] [1] [1] [0] + adder\[1\].fa.sum_1[1:0] [1] [1] [2] [2] [1] + adder\[2\].fa.sum_1[1:0] [2] [2] Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-32

33 The generate Case Construct Chapter 6: Hierarchical Structural Modeling Based on a case expression, a generate conditional allows to instantiate modules, gate primitives, UDPs, continuous assignments, initial and always blocks. generate for (i = 0; i < N; i = i + 1) begin: adder case (i) 0: assign {c[i], sum[i]} = x[i] + y[i] + c_in; N-1: assign {c_out, sum[i]} = x[i] + y[i] + c[i-1]; default: assign {c[i], sum[i]} = x[i] + y[i] + c[i-1]; endcase end endgenerate Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley 6-33

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation A Verilog Primer An Overview of Verilog for Digital Design and Simulation John Wright Vighnesh Iyer Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

Contents. Appendix D Verilog Summary Page 1 of 16

Contents. Appendix D Verilog Summary Page 1 of 16 Appix D Verilog Summary Page 1 of 16 Contents Appix D Verilog Summary... 2 D.1 Basic Language Elements... 2 D.1.1 Keywords... 2 D.1.2 Comments... 2 D.1.3 Identifiers... 2 D.1.4 Numbers and Strings... 3

More information

Verilog Behavioral Modeling

Verilog Behavioral Modeling Verilog Behavioral Modeling Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information

Chapter 9: Sequential Logic Modules

Chapter 9: Sequential Logic Modules Chapter 9: Sequential Logic Modules Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Chapter 9: Sequential Logic Modules

Chapter 9: Sequential Logic Modules Chapter 9: Sequential Logic Modules Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 9-1 Objectives After completing this chapter, you will be able

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

Chap 3. Modeling structure & basic concept of Verilog HDL

Chap 3. Modeling structure & basic concept of Verilog HDL Chap 3. Modeling structure & basic concept of Verilog HDL Fall semester, 2016 Prof. Jaeseok Kim School of Electrical & Electronics Eng. Yonsei university jaekim@yonsei.ac.kr Digital System Design 3-1 Chapter

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog Verilog Radek Pelánek and Šimon Řeřucha Contents 1 Computer Aided Design 2 Basic Syntax 3 Gate Level Modeling 4 Behavioral Modeling Computer Aided Design Hardware Description Languages (HDL) Verilog C

More information

Module 2.1 Gate-Level/Structural Modeling. UNIT 2: Modeling in Verilog

Module 2.1 Gate-Level/Structural Modeling. UNIT 2: Modeling in Verilog Module 2.1 Gate-Level/Structural Modeling UNIT 2: Modeling in Verilog Module in Verilog A module definition always begins with the keyword module. The module name, port list, port declarations, and optional

More information

Chapter 3: Dataflow Modeling

Chapter 3: Dataflow Modeling Chapter 3: Dataflow Modeling Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 3-1 Objectives After completing this chapter, you will be able to: Describe

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Verilog Tutorial (Structure, Test)

Verilog Tutorial (Structure, Test) Digital Circuit Design and Language Verilog Tutorial (Structure, Test) Chang, Ik Joon Kyunghee University Hierarchical Design Top-down Design Methodology Bottom-up Design Methodology Module START Example)

More information

Chapter 5: Tasks, Functions, and UDPs

Chapter 5: Tasks, Functions, and UDPs Chapter 5: Tasks, Functions, and UDPs Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL

More information

Online Verilog Resources

Online Verilog Resources EECS 427 Discussion 6: Verilog HDL Reading: Many references EECS 427 F08 Discussion 6 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf it/ pratolo/verilog/verilogtutorial

More information

Verilog HDL Introduction

Verilog HDL Introduction EEE3050 Theory on Computer Architectures (Spring 2017) Prof. Jinkyu Jeong Verilog HDL Introduction 2017.05.14 TA 이규선 (GYUSUN LEE) / 안민우 (MINWOO AHN) Modules The Module Concept Basic design unit Modules

More information

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1

EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references. EECS 427 W07 Lecture 14 1 EECS 427 Lecture 14: Verilog HDL Reading: Many handouts/references EECS 427 W07 Lecture 14 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf

More information

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 99-1 Under-Graduate Project Verilog Simulation & Debugging Tools Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 ACCESS IC LAB Outline Basic Concept of Verilog HDL Gate Level Modeling

More information

Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL. Variables and Logic Value Set. Data Types. Why use an HDL?

Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL. Variables and Logic Value Set. Data Types. Why use an HDL? Why use an HDL? Lecture 2: Data Types, Modeling Combinational Logic in Verilog HDL Increase digital design engineer s productivity (from Dataquest) Behavioral HDL RTL HDL Gates Transistors 2K 10K gates/week

More information

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran CAD for VLSI Design - I Lecture 21 V. Kamakoti and Shankar Balachandran Overview of this Lecture Understanding the process of Logic synthesis Logic Synthesis of HDL constructs Logic Synthesis What is this?

More information

P-1/26. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU.

P-1/26. Samir Palnitkar. Prentice-Hall, Inc. INSTRUCTOR : CHING-LUNG SU. : P-1/26 Textbook: Verilog HDL 2 nd. Edition Samir Palnitkar Prentice-Hall, Inc. : INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter 4 P-2/26 Chapter 4 Modules and Outline of Chapter 4

More information

Last Lecture: Adder Examples

Last Lecture: Adder Examples Last Lecture: Adder Examples module fulladder(input logic a, b, cin, output logic s, cout); logic p, g; // internal nodes assign p = a ^ b; assign g = a & b; assign s = p ^ cin; assign cout = g (p & cin);

More information

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Introduction to Verilog

More information

VHDL VS VERILOG.

VHDL VS VERILOG. 1 VHDL VS VERILOG http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 VHDL & Verilog They are both hardware description languages for modeling hardware. They are each a notation to describe the behavioral

More information

Chapter 2 Using Hardware Description Language Verilog. Overview

Chapter 2 Using Hardware Description Language Verilog. Overview Chapter 2 Using Hardware Description Language Verilog CSE4210 Winter 2012 Mokhtar Aboelaze based on slides by Dr. Shoab A. Khan Overview Algorithm development isa usually done in MATLAB, C, or C++ Code

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Digital Design (VIMIAA01) Introduction to the Verilog HDL

Digital Design (VIMIAA01) Introduction to the Verilog HDL BUDAPEST UNIVERSITY OF TECHNOLOGY AND ECONOMICS FACULTY OF ELECTRICAL ENGINEERING AND INFORMATICS DEPARTMENT OF MEASUREMENT AND INFORMATION SYSTEMS Digital Design (VIMIAA01) Introduction to the Verilog

More information

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2017 1 Topics 1. Programmable logic

More information

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages 14:332:231 DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall 2013 Lecture #22: Introduction to Verilog Hardware Description Languages Basic idea: Language constructs

More information

HDL Introduction and Reuse

HDL Introduction and Reuse Verilog HDL Introduction and Reuse P. Bakowski bako@ieee.org Verilog HDL Verilog IEEE 13641 1995 95 P. Bakowski 2 Verilog HDL Verilog IEEE 13641 Verilog AMS 1995 95 1998 P. Bakowski 3 Verilog HDL Verilog

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

N-input EX-NOR gate. N-output inverter. N-input NOR gate

N-input EX-NOR gate. N-output inverter. N-input NOR gate Hardware Description Language HDL Introduction HDL is a hardware description language used to design and document electronic systems. HDL allows designers to design at various levels of abstraction. It

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

Design Using Verilog

Design Using Verilog EGC220 Design Using Verilog Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Basic Verilog Lexical Convention Lexical convention are close to C++. Comment // to the of the line. /* to

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

HDL for Combinational Circuits. ENEL211 Digital Technology

HDL for Combinational Circuits. ENEL211 Digital Technology HDL for Combinational Circuits ENEL211 Digital Technology Lecture Outline Vectors Modular design Tri-state gates Dataflow modelling Behavioural Modelling Vectors Often we want multi-bit quantities in digital

More information

Tutorial on Verilog HDL

Tutorial on Verilog HDL Tutorial on Verilog HDL HDL Hardware Description Languages Widely used in logic design Verilog and VHDL Describe hardware using code Document logic functions Simulate logic before building Synthesize code

More information

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1] FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: [] FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed

More information

Introduction to Verilog/System Verilog

Introduction to Verilog/System Verilog NTUEE DCLAB Feb. 27, 2018 Introduction to Verilog/System Verilog Presenter: Yao-Pin Wang 王耀斌 Advisor: Prof. Chia-Hsiang Yang 楊家驤 Dept. of Electrical Engineering, NTU National Taiwan University What is

More information

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2016 1 Topics 1. Programmable logic

More information

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline EECS150 - Digital Design Lecture 4 - Verilog Introduction Feb 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec05-Verilog Page 1 Outline Background and History of Hardware Description Brief Introduction

More information

IP Core Design. Lecture 6 Introduction to Verilog-2001

IP Core Design. Lecture 6 Introduction to Verilog-2001 IP Core Design Lecture 6 Introduction to Juinn-Dar Huang, Ph.D. Assistant Professor jdhuang@mail.nctu.edu.tw September 2004 1 The official standard is IEEE Std. 1364-2001 a guide to the new features of

More information

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2)

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2) EN2911X: Lecture 05: Verilog (2) Prof. Sherief Reda Division of Engineering, Brown University Fall 09 http://scale.engin.brown.edu Dataflow modeling Module is designed by specifying the data flow, where

More information

Mridula Allani Fall Fall

Mridula Allani Fall Fall Mridula Allani Fall 2010 Fall 2010 1 Model and document digital systems Hierarchical models System, RTL (Register Transfer Level), gates Different levels of abstraction Behavior, structure Verify circuit/system

More information

1 Controlling complexity

1 Controlling complexity 1 Controlling complexity Technical skill is mastery of complexity while creativity is mastery of simplicity. E. Christopher Zeeman, Catastrophe Theory, 1977 The goal of this text is to teach you how to

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Instructor: Mohsen Imani UC San Diego Source: Eric Crabill, Xilinx 1 Hardware description languages Used to describe & model

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language Lab #1 Lecture 8, 9, &10: FPGA Dataflow and Verilog Modeling February 9, 11, 13, 2015 Prof R Iris Bahar Lab #1 is posted on the webpage wwwbrownedu/departments/engineering/courses/engn1640 Note for problem

More information

A Brief Introduction to Verilog Hardware Definition Language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL) www.realdigital.org A Brief Introduction to Verilog Hardware Definition Language (HDL) Forward Verilog is a Hardware Description language (HDL) that is used to define the structure and/or behavior of digital

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Chapter 2a: Structural Modeling

Chapter 2a: Structural Modeling Chapter 2a: Structural Modeling Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs

More information

Verilog HDL. Gate-Level Modeling

Verilog HDL. Gate-Level Modeling Verilog HDL Verilog is a concurrent programming language unlike C, which is sequential in nature. block - executes once at time 0. If there is more then one block, each execute concurrently always block

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

תכן חומרה בשפת VERILOG הפקולטה להנדסה

תכן חומרה בשפת VERILOG הפקולטה להנדסה תכן חומרה בשפת VERILOG סמסטר ב' תשע"ג משה דורון מרצה: מתרגלים: אריאל בורג, חג'ג' חן הפקולטה להנדסה 1 Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types

More information

VHDL Structural Modeling II

VHDL Structural Modeling II VHDL Structural Modeling II ECE-331, Digital Design Prof. Hintz Electrical and Computer Engineering 5/7/2001 331_13 1 Ports and Their Usage Port Modes in reads a signal out writes a signal inout reads

More information

Hardware Description Language (HDL)

Hardware Description Language (HDL) Hardware Description Language (HDL) What is the need for Hardware Description Language? Model, Represent, And Simulate Digital Hardware Hardware Concurrency Parallel Activity Flow Semantics for Signal

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

CSE241 VLSI Digital Circuits Winter Recitation 1: RTL Coding in Verilog

CSE241 VLSI Digital Circuits Winter Recitation 1: RTL Coding in Verilog CSE241 VLSI Digital Circuits Winter 2003 Recitation 1: RTL Coding in Verilog CSE241 R1 Verilog.1 Kahng & Cichy, UCSD 2003 Topic Outline Introduction Verilog Background Connections Modules Procedures Structural

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Verilog Essentials Simulation & Synthesis

Verilog Essentials Simulation & Synthesis Verilog Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using Verilog standard language.

More information

Introduction to Verilog HDL. Verilog 1

Introduction to Verilog HDL. Verilog 1 Introduction to HDL Hardware Description Language (HDL) High-Level Programming Language Special constructs to model microelectronic circuits Describe the operation of a circuit at various levels of abstraction

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

Verilog Design Principles

Verilog Design Principles 16 h7fex // 16-bit value, low order 4 bits unknown 8 bxx001100 // 8-bit value, most significant 2 bits unknown. 8 hzz // 8-bit value, all bits high impedance. Verilog Design Principles ECGR2181 Extra Notes

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

VeriLogger Tutorial: Basic Verilog Simulation

VeriLogger Tutorial: Basic Verilog Simulation VeriLogger Tutorial: Basic Verilog Simulation This tutorial demonstrates the basic simulation features of VeriLogger Pro. It teaches you how to create and manage a project and how to build, simulate, and

More information

VLSI Design 13. Introduction to Verilog

VLSI Design 13. Introduction to Verilog Last module: Sequential circuit design Design styles This module Synthesis Brief introduction to Verilog Synthesis in the Design Flow Designer Tasks Tools Architect Logic Designer Circuit Designer Define

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements What is Verilog HDL? Lecture 1: Verilog HDL Introduction Verilog Hardware Description Language(HDL)? A high-level computer language can model, represent and simulate digital design Hardware concurrency

More information

Lecture 19: Arithmetic Modules 14-1

Lecture 19: Arithmetic Modules 14-1 Lecture 19: Arithmetic Modules 14-1 Syllabus Objectives Addition and subtraction Multiplication Division Arithmetic and logic unit 14-2 Objectives After completing this chapter, you will be able to: Describe

More information

VERILOG 2: LANGUAGE BASICS

VERILOG 2: LANGUAGE BASICS VERILOG 2: LANGUAGE BASICS Verilog module Modules are basic building blocks. These are two example module definitions which you should use: // Safer traditional method module abc (in1, in2, out); input

More information

ENGN1640: Design of Computing Systems Topic 02: Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Topics 1. Programmable logic 2.

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

Chapter 3 Part 2 Combinational Logic Design

Chapter 3 Part 2 Combinational Logic Design University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 3 Part 2 Combinational Logic Design Originals by: Charles R. Kime and Tom

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

ECE Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers

ECE Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers ECE 601 - Digital System Design & Synthesis Exercise 1 - Logic Values, Data Types & Operators - With Answers Fall 2001 Final Version (Important changes from original posted Exercise 1 shown in color) Variables

More information

Introduction to Digital Design with Verilog HDL

Introduction to Digital Design with Verilog HDL Introduction to Digital Design with Verilog HDL Modeling Styles 1 Levels of Abstraction n Behavioral The highest level of abstraction provided by Verilog HDL. A module is implemented in terms of the desired

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

AN INTRODUCTION TO VERILOG HDL

AN INTRODUCTION TO VERILOG HDL AN INTRODUCTION TO VERILOG HDL Departamento de Tecnología Electrónica Universidad de Sevilla Rev.7 (feb 2013) Index Introducction Part I: combinational circuits Part II: sequential circuits 2 Introducción

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

CMPE 415 Parameterized Modules and Simulation

CMPE 415 Parameterized Modules and Simulation Department of Computer Science and Electrical Engineering CMPE 415 Parameterized Modules and Simulation Prof. Ryan Robucci Parameters Modules may include parameters that can be overridden for tuning behavior

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Verilog Dataflow Modeling

Verilog Dataflow Modeling Verilog Dataflow Modeling Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information