SystemC, OCCN and VPs. Integrated Systems Development NoC Round Table, ESTEC 17 September 2009

Size: px
Start display at page:

Download "SystemC, OCCN and VPs. Integrated Systems Development NoC Round Table, ESTEC 17 September 2009"

Transcription

1 SystemC, OCCN and VPs M. Grammatikakis,, E. Politis and C. Papadas Integrated Systems Development NoC Round Table, ESTEC 17 September 2009

2 On SoC/NoC Modeling Developments ISD has contributed to the design, documentation, and validation of a custom system-level C++-based IP modeling framework (IPSIM), standardizing modeling objects and methodology in SystemC and simulation a custom SystemC-based IP modeling library (IPSIM subset) has been ported to Synopsys Concentric studio, and an open-source SystemC-based On-Chip Communication Network framework (OCCN) ST Spidergon Real life applications (power, fault tolerance, etc) 2/29

3 About IPSIM ISD designed, developed and validated objects for memory: FIFOs, memory and cache (data, instruction and unified), hierarchical finite state machines, and high-level performance modeling and visualization. ISD contributed to developing intra/inter-module communication (mailbox, message) standardizing intra-module synchronization objects in SystemC (e.g. Mutex & Semaphore) debugging a custom simulation kernel (context switching, fairness) interfacing models with VxWorks RTOS for hw/sw codesign, and designing, validating & integrating models for a SoC soho gateway (customer CISCO). importing IPSIM objects into Synopsys Cocentric Studio (SystemC) Documentation and Technical Support 3/29

4 IPSIM in Synopsys Concentric Studio System Studio Design Library Customized SystemC-based library SystemC 2.0 BBNT Models... ISD customized and ported SystemC2.0-based library objects (data types, memory, intra- and inter-module communication) and test suites into Synopsys CoCentric System Studio, both at user & system level. This feasibility study enabled Synopsys CoCentric System Studio (with interactive model design, validation and additional performance modeling support) to become the design environment for transferring SystemC-based SoC models (e.g. BBNT) within STM, sharing IPSIM design flow within STM and with external partners. 4/29

5 OCCN Methodology for SoC Modeling OCCN Library STBus, AHB, APB, NoC Gcc compiler I/O I/O RAM SystemC2.0 Library I/O CORE ROM ASIC Source Code Executable Model OCCN is an open-source communication modeling environment, with a SystemC-based library, a run/test environment, and communication refinement extending general SoC design methodology. OCCN abstraction levels range from functional to transaction-level clock-cycle, bit-accurate. RTL is supported by SystemC-based behavioral synthesis tools, e.g. Synopsys Co-Centric System Studio. 5/29

6 About OCCN ISD developed fundamental OCCN objects, such as Pdu and MsgBox, debug almost the entire OCCN library, and port the OCCN library and tests to Linux platform (from Solaris). Moreover ISD has administered the open-source sourceforge project, documented OCCN with white paper, articles and user/channel design manuals, designed, developed and validated all high-level performance modeling objects, provided technical support on demand to users & collaborators. A new release will be shortly availably. 6/29

7 OCCN Recognition OCCN is the only open-source NoC modeling framework available. OCCN referenced in 2005 edition of the Medea+ EDA Roadmap. OCCN heavily cited and utilized by 300+ organizations world wide (with recorded downloads) from prestigious schools, research institutions and semiconductor, electronics and EDA industry. OCCN used for efficient modeling and design space exploration of STMicroelectronics Spidergon STNoC. A generic Spidergon STNoC router model has been built using OCCN facilities in a few months. OCCN won the STMicro Corporate Community of Practice Gold Award in /29

8 The ST Spidergon NoC ST Octagon and STBus are starting points Regular, constant degree topology Network expandability of 2 nodes Deterministic routing algorithm: source-based routing simple (no lookup table) diameter = ceil(n/4) Wormhole switching Directly output buffers High thoughput Virtual channels to avoid deadlock N = 8 diameter = 2 N = 12 diameter = /29

9 About ST Spidergon NoC ISD contributed towards the design of an efficient NoC topology by developing static and dynamic theoretical performance models, developing/validating SystemC and Omnet simulation models, and adjusting existing network simulators (e.g. Chaos router). ISD contributed to NoC router architecture by developing efficient flow control, switching strategy, and buffer management strategies, p-p and intensive communication algorithms, and VC allocation/scheduling. ISD improved the NoC network interface by examining packet reordering within the network or network interface, communication layering and interfacing to standard buses (AMBA Axi, STBus, etc), and end-to-end flow control, e.g. VC scheduling & buffer management. 9/29

10 OCCN Modeling and Design Exploration MasterIf VC0 VC1 Msgbox Generic Router Output buffer Router Arbitration MasterIf VC0 VC1 Msgbox Output buffer An OCCN-based Spidergon STNoC router model took ~1mm to develop. It allows configuring NoC topology, packet and flit size, number of virtual channels and allocation/scheduling policy, routing function and arbitration policy, and output buffer configuration and size at router and network interface. 10/29

11 Application Specific NoC Selection Tools SWApplication Models (Task Graphs) Partitioning Tool // systems (i.e. Scotch) HW Blocks Model (Calc, Mem, Comm Cap) design optimization Topology Metrics Tool (Metis, Neato, Nauty) NoC Topology Exploration & Analysis SystemC Executable Specifications (configurable routers & network interfaces) Performance Analysis & Synthesis This contribution by ISD has led to a customized Linuxbased NoC design toolsuite: Iput, Imap, Irun & Isee. automatic code generation 11/29

12 Real life concerns early in the development cycle... How fast the design of a new product can go? How much the SW development can be anticipated? What is the best architecture to be adopted? Which is the best target technology (cost vs perf)? What would be the power consumption? Can it be reduced? What is the impact of variability on. power? What actions should be taken to minimize cost? in order to provide answers in time a holistic approach is required 12/29

13 Electronic Design Productivity Gap Moore s Law Progress in EDA Tools Time Design Productivity Gap Moore s Law: Capacity of integrated chips doubles every 18-24mo Potential solutions in the horizon: IP reuse standardization High-level synthesis Power-aware modeling at higher abstraction level, e.g. using SystemC or even UML 13/29

14 System-Level Design 14/29

15 Benefits from High Level Modeling System-level modeling in a certain level of abstraction reduces significantly the number of errors, enables block and system reuse through rapid communication refinement, composition and reconfiguration, supports efficient design exploration and verification of complex multicore SoC architectures for which synchronization hazards and QoS cannot be examined unless the RTL is available, accelerates early development of firmware/software through a virtual prototype which provides much higher simulation efficiency, and meets smaller time-to-market. What about the link between Power and Technology? 15/29

16 System-Level Power Estimation System System-level power estimation provides sufficient accuracy at improved cost, performance and productivity compared to RTL flow. Low-level power useful only for late design optimization. 16/29

17 System-Level Power Estimation ISD developed an efficient, high-level SoC dynamic power estimation methodology based on bit- and cycle-accurate transaction-level SystemC macro-models. The dynamic power is estimated by multiplying the switching activity (number of transactions and/or bit transitions for all input and output gate signals) with appropriate bit energy coefficient. The accuracy depends on the level of abstraction Bit energy coefficients for a given technology node (e.g. 32 or 22nm) and derivatives (e.g. high performance or low power) can be extracted from statistical measurements on fabricated test structures, the semiconductor technology roadmap (e.g. ITRS) and simulation platforms (e.g. MASTAR). For current technologies, calibration for absolute power estimation can be based on statistical measurements on fabricated test structures. 17/29

18 ISD Contribution: SystemC Power Modeling System-Level Power Estimation Transaction and Transition-based Models ISD PULLNANO Contribution TLM Transaction Level HLS Synthesis Subset SCV Verification Library AMS Analog Mixed Signal SystemC Extensions Core Language Module Ports Processes Events Interfaces Time Channels Signal Buffer Clock Mutex Semaphore FIFO Data Types Logic Bit vectors Logic vectors Fixed point C++ types Utility Classes simulate control tracing Event-driven simulation kernel SystemC Language C++ Language Standard 18/29

19 System-Level Power Estimation System to be designed Spec Input: Model Traffic Executable model Test bench SystemC Simulation Input: Technology Data Distribution of different types of system transitions over time Power Estimation Methodology Output: Dynamic Power Dissipation ISD applied its system-level power estimation methodology to two complex, bit- and cycle-accurate SystemC virtual platforms for future 32/22nm technologies. A network bridge providing sequential access to internal memory tables for inserting, searching or retrieving connection contexts. A NoC in a shared-memory multicore SoC supporting SIMD array processing. 19/29

20 Ethernet Screening FEDCBA Destination MAC Frames Frames Frames Frames PORT A PORT B PORT C PORT A ABCDEF CONTROL LOGIC Ethernet Frame Source MAC BRIDGE RESET DATA VLAN Interface... Payload A ABCDEF A ABCDEF B AA00FFF13456 C ABCDEF D ABCDEF ESCREEN Memory computation-intensive SoCbenchmark for system-level dynamic power estimation READY Registers Cycle- and bit-accurate SystemC VP of a core network bridge component providing VLAN support (IEEE 802.1q). It features: MAC address type identification of incoming Ethernet packets. Complex FSM performs fast search of several lookup tables. Significant computation load: 2-level CRC-based hashing. Core 20/29

21 Ethernet Screening Although during initial insertions memory power dissipation is large, as time progresses and conflicts occur, registers and other combinational circuitry start to consume much more power. Architecture refinement 21/29

22 SIMD NoC PE PE Sxx1111 PE Sxxxx11 PE Sxxxx10 CP Sxxxxxx Sxxxx01 PE Sxxxx00 Sxx0000 PE PE Level 1 Level 2 PE Level 3 ctrl_0 Output Control ctrl_1 ctrl_2 ctrl_3 Data-0 communication-intensive SoCbenchmark for system-level dynamic power estimation d_rdy_0 s_rdy_0 data_0 data s_rdy d_rdy Address Management Data-1 data Buffer ctrl_1 ctrl_2 ctrl_3 d_rdy_1 s_rdy_1 data_1 Data-2 d_rdy_2 s_rdy_2 data_2 Data-3 d_rdy_3 s_rdy_3 data_3 Cycle- and bit-accurate SystemC of a NoC-based homogeneous multicore exploiting data & instruction parallelism common in DSP and multimedia applications. Features include: NoC topology configured as a quad-tree of generic 1x4 switches. Power-aware 1x4 expander multicast switch supports SIMD-based parallel computation, communication & synchronization patterns. 22/29

23 System-Level NoC: Multicast vs Broadcast PE 1 Control PE Quad-Tree NoC PE 2 instruction stream stream 1 stream 2 stream N PE N Using relative metrics, NoC simulation shows that multicast is more power-efficient only for a limited number of multicast addresses. Power normalization is based on a 32nm note (linear regression). Communication protocol refinement Network Power 4,0E+04 3,5E+04 3,0E+04 2,5E+04 2,0E+04 1,5E+04 1,0E+04 5,0E+03 BCAST MCAST 0,0E Packet Size (bytes) 23/29

24 System-Level NoC: SIMD Processing VBIT_SORT=HP90nm VBIT_SORT=HP22nm VCOPY-HP/90nm VCOPY-HP/22nm VADDI-HP/90nm VADDI-HP/22nm 1,0E+05 Normalized Network Power 8,0E+04 6,0E+04 4,0E+04 2,0E+04 0,0E SIMD Array Size For the more complex vector parallel processing routines, such as bitonic sorting, power consumption increases. Algorithm selection Target Technology selection 24/29

25 System-Level NoC: Power Gating VADDI-HP/90nm VADDI-HP/45nm VADDI-HP/22nm VADDI-HP/65nm VADDI-HP/32nm VADDI-HP/90nm - Power Gating Normalized Network Power 2,00E+11 1,50E+11 1,00E+11 5,00E+10 0,00E SIMD Array Size Early selection of the architecture and the technology 25/29

26 Extend SystemC Models with Roadmap Data Statistical Regression Normalized Network Power 1,00E+05 9,00E+04 8,00E+04 7,00E+04 6,00E+04 5,00E+04 4,00E+04 3,00E+04 2,00E+04 1,00E+04 0,00E+00 Low Power Variation High Performance Variation Technology Feature Size (nm) MASTAR gtview Bit- and cycle-accurate SystemC models can be automatically annotated from technology roadmap, e.g. ATRS, datasheets or device models (e.g. MASTAR or bsim3) for reliable predictions of system power and speed in future 32/22nm technology nodes and variations. SystemC-based power instrumentation can enable design exploration of architectural, algorithmic and technology features for energy-aware product differentiation and variation-aware power analysis. 26/29

27 A highly parallel Virtual Platform ISD examines architectural & algorithmic support for correct multicore execution of simultaneous shared memory and message passing directives, i.e. remote read/write & send/receive primitives. ISD also implements a transaction-level bit- and cycle-accurate SystemC-based virtual platform of a multicore SoC composed of a NoC connecting together tens or hundreds of processing elements with local memory to several interleaved storage elements. The VP will support both message passing and shared memory multiprocessing primitives, while a model extension will cover streaming and transactional memory. Using this VP, ISD will address cost, performance, scalability and power tradeoffs of different programming models (and hybrid combinations) for computationally intensive multimedia applications. 27/29

28 Fault Tolerant (MODERN) With unreliable processes we should make reliable products ISD implements fault diagnosis, packet encoding/retransmission, fault tolerant routing and reconfiguration mechanisms in a VP of a multicore SoC composed of a NoC and many nodes. On top of this fault tolerant VP, ISD will implement dynamic power estimation based on mearuring system-level switching activity. Using this VP, ISD plans to consider the design of low cost, reliable and power-efficient multicore systems by exploring algorithmic, topological, architectural, and technological characteristics. THL will port their (very interesting) tile over this VP. 28/29

29 29/29

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Communication Oriented Design Flow

Communication Oriented Design Flow ARTIST2 http://www.artist-embedded.org/fp6/ ARTIST Workshop at DATE 06 W4: Design Issues in Distributed, Communication-Centric Systems Communication Oriented Design Flow Marcello Coppola Head of AST Grenoble

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

System Level Design Technologies and System Level Design Languages

System Level Design Technologies and System Level Design Languages System Level Design Technologies and System Level Design Languages SLD Study Group EDA-TC, JEITA http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Problems to Be Solved 1. Functional

More information

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards U. Neffe, K. Rothbart, Ch. Steger, R. Weiss Graz University of Technology Inffeldgasse 16/1 8010 Graz, AUSTRIA {neffe, rothbart,

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Network simulation with. Davide Quaglia

Network simulation with. Davide Quaglia Network simulation with SystemC Davide Quaglia Outline Motivation Architecture Experimental results Advantages of the proposed framework 2 Motivation Network Networked Embedded Systems Design of Networked

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations

Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations FZI Forschungszentrum Informatik at the University of Karlsruhe Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations Oliver Bringmann 1 RESEARCH ON YOUR BEHALF Outline

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

Address InterLeaving for Low- Cost NoCs

Address InterLeaving for Low- Cost NoCs Address InterLeaving for Low- Cost NoCs Miltos D. Grammatikakis, Kyprianos Papadimitriou, Polydoros Petrakis, Marcello Coppola, and Michael Soulie Technological Educational Institute of Crete, GR STMicroelectronics,

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN Giovanni De Micheli Luca Benini CSL - Stanford University DEIS - Bologna University Electronic systems Systems on chip are everywhere Technology

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Modeling Software with SystemC 3.0

Modeling Software with SystemC 3.0 Modeling Software with SystemC 3.0 Thorsten Grötker Synopsys, Inc. 6 th European SystemC Users Group Meeting Stresa, Italy, October 22, 2002 Agenda Roadmap Why Software Modeling? Today: What works and

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

System-Level Analysis of Network Interfaces for Hierarchical MPSoCs

System-Level Analysis of Network Interfaces for Hierarchical MPSoCs System-Level Analysis of Network Interfaces for Hierarchical MPSoCs Johannes Ax *, Gregor Sievers *, Martin Flasskamp *, Wayne Kelly, Thorsten Jungeblut *, and Mario Porrmann * * Cognitronics and Sensor

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

MOSAID Semiconductor

MOSAID Semiconductor MOSAID Semiconductor Fabr-IC (A Single-Chip Gigabit Ethernet Switch With Integrated Memory) @Hot Chips Dave Brown Chief Architect July 4, 2001 Fabr-IC Feature summary 2 Gig ports 1 gig port for stacking

More information

Overview of SOC Architecture design

Overview of SOC Architecture design Computer Architectures Overview of SOC Architecture design Tien-Fu Chen National Chung Cheng Univ. SOC - 0 SOC design Issues SOC architecture Reconfigurable System-level Programmable processors Low-level

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

4 th European SystemC Users Group Meeting

4 th European SystemC Users Group Meeting 4 th European SystemC Users Group Meeting http://www-ti.informatik.uni-tuebingen.de/systemc Copenhagen October 5 th, 2001, 1100-1600 SystemC 2.0 Tutorial Thorsten Grötker R & D Manager Synopsys, Inc. Motivation

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

Esterel Studio Update

Esterel Studio Update Esterel Studio Update Kim Sunesen Esterel EDA Technologies www.esterel-eda.com Synchron, November 2007, Bamberg Germany Agenda Update on Esterel Studio Architecture Diagrams Formal Verification IEEE standardization

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS Jaehwan Lee* Kyeong Keol Ryu* Vincent J. Mooney III + {jaehwan, kkryu, mooney}@ece.gatech.edu http://codesign.ece.gatech.edu

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

A Methodology for NoC

A Methodology for NoC OCCN On-Chip Communication Architecture OccN A Methodology for NoC AST Grenoble Marcello Coppola Outline SoC today NoC OCCN Case study Conclusion Soc Today: A Variety of Networks & Terminals Ad-Hoc-Net

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

Universiteit van Amsterdam 1

Universiteit van Amsterdam 1 Universiteit van Amsterdam 1 Embedded systems are ubiquitous! Andy D. Pimentel IPA Lentedagen, 22 April, 2010 2 The design of modern embedded systems becomes increasingly complex Need to support multiple

More information

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems Introduction to MLM Embedded HW/SW Systems SoC FPGA European SystemC User s Group Meeting Barcelona September 18, 2007 rocco.le_moigne@cofluentdesign.com Agenda Methodology overview Modeling & simulation

More information

Co-synthesis and Accelerator based Embedded System Design

Co-synthesis and Accelerator based Embedded System Design Co-synthesis and Accelerator based Embedded System Design COE838: Embedded Computer System http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Hardware-Software Codesign. 6. System Simulation

Hardware-Software Codesign. 6. System Simulation Hardware-Software Codesign 6. System Simulation Lothar Thiele 6-1 System Design specification system simulation (this lecture) (worst-case) perf. analysis (lectures 10-11) system synthesis estimation SW-compilation

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Aravinda Thimmapuram Somarka Chakravarti Tamal Saha Rathina Thalaiappan Accellera Systems Initiative 1 Agenda Introduction Problem

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

System Level Design Flow

System Level Design Flow System Level Design Flow What is needed and what is not Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski System Level Design Flow What is

More information

SpiNNaker - a million core ARM-powered neural HPC

SpiNNaker - a million core ARM-powered neural HPC The Advanced Processor Technologies Group SpiNNaker - a million core ARM-powered neural HPC Cameron Patterson cameron.patterson@cs.man.ac.uk School of Computer Science, The University of Manchester, UK

More information

Moore s Law. Computer architect goal Software developer assumption

Moore s Law. Computer architect goal Software developer assumption Moore s Law The number of transistors that can be placed inexpensively on an integrated circuit will double approximately every 18 months. Self-fulfilling prophecy Computer architect goal Software developer

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information