Physical IP Solutions

Size: px
Start display at page:

Download "Physical IP Solutions"

Transcription

1 Physical IP Solutions Bryan Lawrence Solutions Marketing 1 Physical IP Product Focus Library platforms Standard cells Embedded memory I/O functionality High-speed interfaces Serial PHYs DDR DDR Pervasive SoC IP Standard Cells Serial PHYs I/O Cells 2

2 ARM Artisan Physical IP Platforms Mainst ream Pl atform Perfo rmance Platfo rm Den sity Optimiz ed Platfo rm High-Speed PHYs SAGE-X Standard Cell Advantage Standard Cell Metro Standard Cell PCI-Express Single/Dual Port SRAM Single/Dual Port SRAM Single/Dual Port SRAM Serial-ATA 1/2-Port Register File 1/2-Port Register File 1/2-Port Register File XAUI ROM Via or Diffusion ROM Via or Diffusion ROM Via or Diffusion DDR/DDR2/GDDR3 GPIO Inline/Staggered GPIO Inline/Staggered GPIO Inline/Staggered Power Management Kit Power Management Kit Analog/Mixed Signal PLLs, DLLs Specialt y I/Os Analog/Mixed Signal PLLs, DLLs Specialt y I/Os Analog/Mixed Signal PLL, VREG, OSC, Specialt y I/Os 3 Library Platforms Power Performance Area pow er pow er 1/5 pow er 1/2 performance System requirement performance System requirement performance System requirement area area area 80% ~100% 4

3 Advantage Overview Perfo rmance Platfo rm Advantage Standard Cell Single/Dual Port SRAM 1/2-Port Register File ROM Via or Diffusion GPIO Inline/Staggered Power Management Kit Analog/Mixed Signal PLLs, DLLs Specialt y I/Os Performance-optimized physical IP products for nanom eter designs Memories provide 40% speed improvement and 50% power improvement over Classic architectures Standard cells provide up to 25% speed improvement over Classic architecture Lower die costs Flex-Repair redundancy for yield DFM for improved yield Ring-less power routing to reduce area Targeted at high-performance design Replaces Classic architecture at 90nm and below Available for 130nm, 90nm and 65nm processes 5 Advantage Platform Standard Cell Libraries Advantage Advantage-HS Advantage- CE Target designs Speed and density optimized High Performance Highest Performance Speed Power Area # Tracks high 9/ Well taps separate separate separate Metal usage M1 only M1 only M1 only Wide selection of standard cell libraries enables optimal design performance Use different libraries in different blocks 6

4 Metro Overview Den sity Optimiz ed Platfo rm Metro Standard Cell Single/Dual Port SRAM 1/2-Port Register File ROM Via or Diffusion GPIO Inline/Staggered Power Management Kit Analog/Mixed Signal PLL, VREG, OSC, Specialt y I/Os Density and power-optimized physical IP products Enables designs with up to 80% lower power than traditional products Using voltage islands and dynamic voltage/frequency scaling Dynamic and static power management Enables multi-voltage SoC designs and complements ARM Intelligent Energy Manager (IEM) Compatible with latest releases of EDA vendor power-optimized flows Increased design productivity Targets battery-powered applications Area efficient Moderate performance Improved yield Available for 180nm, 130nm, 90nm and 65nm processes 7 Metro Standard Cell Features & Benefits Dynamic power reduction techniques Clock-gating cells enable clock-based power management Careful attention to P:N ratio and stage ratios to limit switching currents Distribution of drive strengths minimizes over-design Shorter cell height minimizes power at moderate speed while providing high density Leakage Power reduction techniques Cell GDS supports mix and match of different V t in adjacent cells Well contacts outside cell enable leakage reduction through well biasing Timing views (.lib) with State-dependent leakage power for best estimation of power dissipation. 8

5 Generator Range Ports Single-Port SRAM 256bits - 512Kbits 1 R/W Port Dual-Port SRAM 128bits - 256Kbits 2 R/W Ports Single-Port Register File 64bits - 32Kbits 1 R/W Port Two-Port Register File 16bits - 16Kbits 1 R Port, 1 W Port ROM 128bits - 2Mbits 1 R Port 9 Feature Design-for-Manufacturability (DFM) compliant design and characterization techniques Flex-Repair redundancy Extra timing margin adjustment Benefit Improv ed yield Lower die cost Soft Error Repair RTL Integrated BIST Muxes ArtiGrid Over-the-cell power routing Low -v oltage functionality and characterization Power down modes: standby, retention and shutdown Shorter development time Lower die cost Smaller die area Lower die cost Dynamic power control Leakage power control 10

6 ARM Power Management Kit Available in both Metro and Advantage platforms Voltage level shifter and isolation cells Up and down shifting with optional enable signal MT-CMOS power gates Power control of voltage islands via switchable voltage rails using header- (shown) or footer-switch cells VDD1 VDD1 VDD2 VDD2 Retention flip-flops Maintain FF state after power down for leakage reduction VDD1 Global VSS Global VDD VDD2 Note: P ictur e shows a conc eptual implementation Enables implementation of advanced power management system architectures 11 Power Optimized Designs Enabled Metro Advantage Dynamic Power Control Clock gating standard cells Voltage level shifters Extended operating range of standard cells and memories DVFS support Leakage Power Control Multi V T support in standard cells Power gating of standard cells Retention flip flops Power gating and power down modes in memories 12

7 I/O Products Complete the Platform Speed Specialty I/O SSTL I, II HSTL I, II LVDS SSTL_18 USB 1.1 General Purpose I/O Input only I/O; 2 24 ma drive Multiple slew rates Output only LVTTL, Schmitt trigger inputs Oscillators, Analog pads 250 nm 180nm 130 nm 90 nm 65 nm Process Geometry 13 Extensive EDA Support Standard EDA Packages Comprehensive coverage Consistent deliverables Verilog and VHDL/Vital Synthesis/timing/power Place-and-route abstracts Schematic symbols GDSII files and LVS netlists EDAPlus Extended support Signal integrity Noise Power DFT Downloadable From the Web 14

8 ARM Supports Leading Manufacturers Atmel AMD LSI Logic National Jazz IBM Infineon ST NEC Hynix Sanyo DongbuAnam Seiko Epson Tower SMIC Sharp HHNEC Sony HeJian TSMC UMC Chartered Vanguard 1 st Silicon Silterra IDM Foundry 15 Connecting Manufacturers with Designers World s Leading IC Manufacturers ARM Physical IP User Community 2,000+ Companies, Estimated 10,000 Design Teams 16

9 ARM Access Library Program Innovative business model enables easy access to products for customers using pure-play foundries Access library program Foundry licenses platform products from ARM Platform products developed by ARM and distributed on ARM website License agreement between ARM and design customer License fees paid by foundry Royalties paid by foundry 17 Access Library Program License $ Foundry Product License Foundry Completed Designs Production Orders SOC Design Teams Fabless IC Companies IDMs Partners Foundry IP Products Optional Support Foundry Wafer Production Royalty $ SOC Design Teams Fabless IC Companies IDMs Partners SOC Design Teams Fabless IC Companies IDMs Partners 18

10 Access Program Product Availability Choices Chartered IBM TSMC UMC SMIC Tower 1 st Silicon Dongbu HeJian HHNEC MagnaChip Silterra Vanguard 250nm nm nm 1 Metro for 9FLP/10LP, Advantage for 9SF/10SF(HS); products for 10LP/10SF under development 2 Metro for L130e, Classic for other 130, Advantage for L90SP 3 Advantage for CLN 90G/G-OD/GT available; CLN65G under development nm nm Velocity High-Speed Interfaces Library platforms Standard cells Embedded memory I/O functionality High-speed interfaces Serial PHYs DDR DDR Pervasive SoC IP Standard Cells I/O Cells Serial PHYs 20

11 Velocity High-Speed Interfaces CPU Graphics PCIe System Controller Controller DDR Subsystem Hard Disk Driv e I/O Controller Local I/O SATA PCIe Gb Ethernet Add-in Cards XAUI Router/Switch Serdes Backplane 21 Serial Link: New System Economics Serial I/O 0.13um 230K/mm** u pitch Taking the Path to Higher Performance and Lower Cost 0.18um 115K/mm**2 Parallel I/O u pitch Active Area Unused Area I/O Area 0.13um 230K/mm** u pitch Smaller die size Smaller package size Fewer package pins Less power Scalable bandwidth High-Speed Serial Interfac es Offer Many Advantages 22

12 Velocity High-Speed Interfaces 130nm Series 90nm Series 65nm Series PCI Express XAUI/1-3.2Gbps Backplane 1-6.4Gbps Backplane Serial ATA DDR 1/2 GDDR3 In Development Engineered to save you time and money Superior jitter performance, small size and low power Scalable, advanced platform architecture to meet future higher bandwidth requirements Extensive programmable features offer integration flexibility Built-in test for improved manufacturability 23 Small Size and Low Power TX/RX Lane PCS Layer SerDes Core ESD < 250µm < 600µm Application Dependent Low-power features < 65mW/lane average Most of the circuitry, including driver, run off 1.0V/1.2V Extensive power down controls for precise control Smallest size in the industry < 0.20mm 2 per lane Area includes ESD, BIST with pattern generators and receivers in each lane 24

13 Broad Lane Configuration Support 16 Lane PHY 8 Lane PHY 4 Lane PHY 2 Lane PHY 1 Lane PHY Flexible configurations ready for instantiation Standard configurations of 1, 2, 4, 8 and 16 lanes Standard bump pitches of 175µm, 200µm, 225µm 25 Extensive Manufacturing Test Support ARM has designed a comprehensive built in self test capability that enables a standard tester to be used in production Analog test bus High-speed analog BIST CDR/Phase Interpolator test Serial Control Register (SCR) Scan built in to all digital JTAG support DC and AC FIFO Parallel Loopback RX De-Serializer - TX Serializer RCLK Loopback RX CDR Line Loopback Serial Loopback TX RX AC JTAG To Package Fr om Package 26

14 Designed for Easy Implementation Excellent noise immunity and signal integrity Fully differential circuitry in transmitter, receiver, PLL On-chip regulator for VCO power supply minimizes effects of power supply noise Built-in seawall isolation to protect macro from substrate noise Few external components required On-chip AC coupling capacitors, only 1 external resistor required Comprehens ive docum entation available User guide, integration guide, testing guide Test plan and characterization report 27 Velocity High-Speed Interfaces Proven In Silicon 2.5Gbps Extremely low jitter 3.125Gbps 6.25Gbps Superior jitter performance Translates into an extremely resilient design Will deliver extremely low bit error rates Extra design margin Cheaper package, connectors, PCBs 28

15 Flexible Velocity PHY Test and Demo Board All ARM Velocity PHYS are silicon proven Boards available for evaluation on a loan basis 29 Velocity High-Speed Interfaces Pervasive SoC IP Library platforms Standard cells Embedded memory I/O functionality DDR Serial PHYs High-speed interfaces Serial PHYs DDR Standard Cells I/O Cells 30

16 Flexible DDR Solution Phase Locked Loop (PLL) Master Delay Locked Loop (DLL) Slave DLL I/O Pads Slave DLL I/O Pads Off Chip Interface IO pads repeat 8 times Flexible implementation to optimize solution area, power, performance Switchable architecture allows DDRI, DDRII, GDDR3 Up to 1.8Gb/s(GDDR3) data throughput Backwards compatible with DDR (2.5V I/O drive) using lower drive strengths Contains dynamic calibrator for on-die termination and pullup/pull-down driver network for PVT variations 31 I/O Placement Flexibility Go around the c hip corner Match supply / decap pad frequency to actual needs. (varies widely with package type) Power group I/O group 32

17 DDR Solutions for 130nm and 90nm Standard/App Soft IP Hard IP Controller Analog I/Os DDR I SDRAM 2.5V Up To 400Mb/s ARM PL340 (also supports Mobile-DDR) 800Mbs DLL 533MHz PLL DDR1/2 DDR II 1.8V SDRAM/ RLDRAM Up To 800Mb/s ARM PL341 (Q2, 2006) 800Mbs DLL 533MHz PLL DDR1/2 GDDR III 1.8V SDRAM/ RLDRAM Up To 1.6Gb/s 3 rd Party 1800Mbs DLL 800MHz PLL GDDR3 33 Summary Physical IP is a key part of the ARM product portfolio Optimized physical IP enables new benefits: Unique power, performance and area solutions Faster time to market through use of IP that works together easily Manufacturing flexibility Best commercial terms for SoC production Leading foundry and EDA support Low risk solutions Silicon and system validated Extensive offering, capabilities and experience Single source for processors, high-speed interfaces, memory interfaces, specialty I/Os, analog, standard cells, standard I/Os, and memories R&D team, support, silicon, lab 34

Artisan 0.18um Library

Artisan 0.18um Library Artisan 0.18um Library 478 high-density standard cells Average cell density of 111K gates/sq.mm Multiple drive strengths Routable in 3, 4, 5 or more metal layers Comprehensive design tool support Process

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

The Fujitsu ASIC Platform:

The Fujitsu ASIC Platform: : Combining Engineering Expertise with Best-in-Class Tools and Process Technology to Deliver Cost-Efficient Custom Silicon TECHNOLOGY BACKGROUNDER Introduction Advanced ASIC (Application Specific Integrated

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Arria V GX Video Development System

Arria V GX Video Development System Arria V GX Video Development System Like Sign Up to see what your friends like. The Arria V GX FPGA Video Development System is an ideal video processing platform for high-performance, cost-effective video

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1 90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra 2015 Synopsys, Inc. All rights reserved. 1 Process Requirements are Specific to Customer/Market Need Wearable / IoT

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

WHAT DOLPHIN CUSTOMERS ARE SAYING

WHAT DOLPHIN CUSTOMERS ARE SAYING WHAT DOHIN CUSTOMERS ARE SAYING THE BEST RAM TECHNOLOGY As VP of IC Engineering at Tilera Corporation and a customer of TSMC, I am submitting this testimonial for Dolphin Technology, an IP provider for

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Configuring DDR Interface IP to Enhance Speed and Minimize Design Footprint

Configuring DDR Interface IP to Enhance Speed and Minimize Design Footprint Configuring R Interface IP to Enhance Speed and Minimize esign Footprint Bruce Luo, VP Product Solutions Shanghai Event September 14, 2017 2017 What Limits R Performance? PCB SoC Package PCB traces Rx

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Mixed Signal IP Design Guide

Mixed Signal IP Design Guide Mixed Signal IP Design Guide Vol13 Iss2 v3, Nov. 5, 2013 The Leading Provider of High-Performance Silicon-Proven Mixed-Signal IP BENEFITS Integrate Mixed-Signal Content into Your SoC Improve Performance

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Clock Tree Design Considerations

Clock Tree Design Considerations Tree Design Considerations Hardware design in high performance applications such as communications, wireless infrastructure, servers, broadcast video and test and measurement is becoming increasingly complex

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

Arria V GX Transceiver Starter Kit

Arria V GX Transceiver Starter Kit Page 1 of 4 Arria V GX Transceiver Starter Kit from Altera Ordering Information Transceiver Starter Kit Contents Starter Board Photo Related Links The Altera Arria V GX Transceiver Starter Kit provides

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

SoCtronics Corporate Overview Industry s 1st Design FoundryTM

SoCtronics Corporate Overview Industry s 1st Design FoundryTM SoCtronics Corporate Overview Industry s 1st Design FoundryTM Headquarters Hyderabad, India Design Center Santa Clara, California Company Profile One-stop SoC design service company Operating since 2003

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

89HPES24T3G2 Hardware Design Guide

89HPES24T3G2 Hardware Design Guide 89H Hardware Design Guide Notes Introduction This document provides system design guidelines for IDT 89H PCI Express (PCIe ) 2. base specification compliant switch device. The letters "G2" within the device

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

November 11, 2009 Chang Kim ( 김창식 )

November 11, 2009 Chang Kim ( 김창식 ) Test Cost Challenges November 11, 2009 Chang Kim ( 김창식 ) 1 2 Where we are!!! Number of Die per wafer exponentially increasing!! Bigger Wafer Diameter 150mm 200mm 300mm 450mm 2000 2005 2010 2015 1985 1990

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Overview Why is power a problem? What can FPGAs do? Are we safe

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Data sheet IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Sofics has verified its TakeCharge ESD protection clamps on TSMC 28nm

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer.

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer. F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N 28 28 Nanometer www.umc.com 28 Nanometer UMC's 28nm process technology is developed for applications that require the highest performance

More information

HX5000 Standard Cell ASIC Platform

HX5000 Standard Cell ASIC Platform Standard Cell ASIC Platform GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell s fully QML Qualified 150nm CMOS Silicon on Insulator technology using a cell-based

More information

LVDS Clocks and Termination

LVDS Clocks and Termination ABSTRACT Signal (LVDS) frequency control products and provide guidance for proper termination. require special consideration to utilize the logic properly. The Application Note covers interfacing LVDS

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

. Micro SD Card Socket. SMARC 2.0 Compliant

. Micro SD Card Socket. SMARC 2.0 Compliant MSC SM2S-IMX6 NXP i.mx6 ARM Cortex -A9 Description The design of the MSC SM2S-IMX6 module is based on NXP s i.mx 6 processors offering quad-, dual- and single-core ARM Cortex -A9 compute performance at

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Design Support

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N.  Design Support F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N www.umc.com Design Support Design Support Solutions Overview UMC's Design Support Solutions provide customers with a practical and

More information

SiRFstar II ARCHITECTURE:

SiRFstar II ARCHITECTURE: SiRFstar II ARCHITECTURE: A POWERFUL SYSTEM PLATFORM for CONSUMER GPS APPLICATIONS J. Knight, R. Tso, Dr. L. Peng, A. Pande, G. Turetzky SiRF Technology Inc. GPS System Overview 1 Typical SiRFstar II Architecture

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution Kunal Varshney, Open-Silicon Ganesh Venkatkrishnan, Open-Silicon Pankaj Prajapati, Open-Silicon May 9, 9, 2016 1 Agenda High Bandwidth Memory

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

SEFUW workshop. Feb 17 th 2016

SEFUW workshop. Feb 17 th 2016 SEFUW workshop Feb 17 th 2016 NanoXplore overview French fabless company with two activities FPGA core IP High reliable FPGA devices Lead by FPGA industry experts with more than 25 years track records

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

Craig Rawlings Title or job function

Craig Rawlings Title or job function The Source for Logic Non-Volatile Memory Presenter Name Craig Rawlings Title or job function Director of Marketing Rapid Growing Customer Base Rapid Growing Customer Base 50+ Customers and Counting XPM

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability LatticeSC/Marvell XAUI Interoperability November 2006 Introduction Technical Note TN1128 The document provides a report on a XAUI interoperability test between a LatticeSC device and the Marvell 88X2040

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information