Peering into Moore s

Size: px
Start display at page:

Download "Peering into Moore s"

Transcription

1 Peering into Moore s Crystal Ball: Transistor Scaling beyond the 15nm node Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology Portland Technology Development Intel Corporation Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

2 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

3 Consistent 2-year scaling 65nm WIDE m 2 45nm WIDE m 2 22nm WIDE 32nm WIDE m m 2 90nm TALL 1.0 m2 90 nm 65 nm 45 nm 32 nm 22 nm projected 90: 7 65: 8 45: 9 32: 9 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

4 Changes in Scaling THEN Scaling drove down cost Scaling drove performance Performance constrained Active power dominates Independent design-process NOW Scaling drives down cost Materials drive performance Power constrained Standby power dominates Collaborative design-process 130nm 90nm 65nm 45nm 32nm Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

5 Consistent SRAM Density Scaling Bit tcell Ar rea ( m 2 ) X bitcell area scaling 90nm 65nm 45nm 32nm Process generation 22nm K. Zhang, ISCC, 2009; M. Bohr IDF 2010 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

6 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

7 Ultra-thin body with RSD Extension of planar technology (less disruptive to manufacturing) Benefits Improved RDF (low doped channel) Compatible with RSD technology Excellent Potential for channel body bias control Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

8 Ultra-thin body with RSD Capacitance (Increased fringe to contact/facet) Rext: (Xj/Tsi limitations) Challenges Variation: (film thickness changes affects VT and DIBL) Strain: (strain transfer from S/D into the channel) Manufacturing: (requires both thin Tsi and thin BOX) Performance: (transport challenges withthintsi) thin Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

9 Barral CEA-LETI / L2MP / SOITEC IEDM 2007 Ultra-thin body Cheng IBM VLSI 2009 Lg=25nm Tsi=6nm Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

10 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal sub- threshold h slope (gates tied together) Improved RDF (low doped channel) Excellent channel Can be on control bulk or SOI Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

11 MuGFET with RSD Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal sub- threshold h slope (gates tied together) Benefits Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, A

12 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Possibility for independent d gate operation Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, B

13 MuGFET Capacitance (fringe to contact/facet) (Plus, additional dead space elements) Variation (Mitigating RDF but acquiring Hsi/Wsi/epi) Challenges Gate wraparound (Endcap coverage) Small fin pitch (2 generation scale?) Fin/gate fidelity on 3 D (Patterning/etch) Fin Strain engr. Topology Rext: (Effective strain (Polish / etch (Xj/Wsi transfer from a fin challenges) limitations) into the channel) Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

14 Kawasaki Toshiba / IBM IEDM 2009 MuGFET Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

15 Nanowire Benefits Nearly ideal sub- threshold h slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

16 Nanowire Benefits Nearly ideal sub- threshold h slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, A

17 Nanowire Integrated wire fabrication (Epitaxy? Other?) Mobility degradation (scattering) Gate conformality (dielectric and metal) Wire stability (bending/warping) Challenges Capacitance (fringe to contact/facet) (Plus, additional dead space elements) Variation (Mitigating RDF but acquiring a myriad of new sources) Fin Strain engr. (Effective strain transfer from wire into the channel) Rext: (Xj/Wsi limitations) Fin/gate fidelity on 3 D (Patterning/etch) Topology (Polish / etch challenges) 13 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, 2010

18 Dupre CEA-LETI IEDM 2008 Nanowire FETs Bangsaruntip IBM IEDM 2009 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

19 Hashemi/Hoyt MIT IEDM 2008 EDL/ESSDRC 2009 Nanowire FETs Moselund Ecole Polytechnique, Switzerland IEDM 2007 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

20 Vertical Architectures Vertical orientation may enable new circuit concepts Benefits 50% reduction in plan view density Possibility for different N/P materials/orientations Reduced vertical interconnect t capacitance Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

21 Vertical Architectures Rext: (Xj/Wsi limitations) Fin/gate fidelity on 3 D (Patterning/etch) Topology (Polish / etch challenges) Gate conformality (dielectric and metal) Challenges Thermal processing (Top layer may need to be processed over existing bottom layer) Contacts (Diffusion-diffusion, Gate-gate contacts extremely challenging) Capacitance (fringe to contact/facet) (Plus, additional dead space elements) Variation (Mitigating g RDF but acquiring a myriad of new sources) Lithography (May double the number of FE critical layers) Strain engineering (more challenging than single layer) Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

22 Batude CEA LETI - IEDM 2009 stacked 110/100 Vertical Jung Samsung - IEEE TED D stacked 6T Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

23 TFET (Tunneling Field-Effect Transistor) Principle of operation Band-to-band- tunneling through source barrier, modulated by gate field Advantages Steep (< 60 mv/dec) sub-threshold slope Large Ion/Ioff ratio Disadvantages Low drive currents Ambipolar conduction Unidirectional conduction Potentially high hot-e - effects Technology Intercept Unlikely candidate for Si, Ge, or Si 1-x Ge x systems (drive currents too low) Need III-V band-gap engineering, perhaps with broken-gap Source Tunneling barriers Vg Gate P + i-inas N Drain Courtsey M. Luisier (Purdue) M. Luisier and G. Klimeck, EDL, 2009 Vd Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

24 Sensitivity to Source Doping Variation 1E+21 1E+20 1E+19 1E+18 1E+17 1E E+15 1E+14 TFET Source Doping Gradient Grad 0 Grad 1 Grad X (nm) Ids (A/um) 1E-05 1E-06 1E-07 1E-08 1E-09 1E-10 1E-11 1E-12 1E E-14 1E-15 1E-16 1E-17 TFET TSi = 5nm, Lg = 20nm, Vds = 0.5V Grad0 Grad1 Grad Vgs (V) TFET behavior is very sensitive to the source doping shape 1E+21 1E+20 1E+19 1E+18 1E+17 MOS Source Doping Gradient Grad0 Grad1 Grad X (nm) (A/um) Ids 1E-02 1E-03 1E-04 1E-05 1E-06 1E-07 1E-08 1E-09 MOS TSi = 5nm, Lg = 20nm, Vds = 0.5V Grad0 Grad1 Grad Vgs (V) MOS behavior has little sensitive to the source doping shape Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

25 1.00E E E E E E E Best Demonstrated TFETs 32nm Vds = 0.8V Still MUCH lower drive currents than conventional MOS Require band-gap engineering i with hetero-junction layers Sub-threshold slope still poor [1] S. Mookerjea et al., IEDM 09 [1] K. Jeon, et al., VLSI ( ) 2010 [2] W. Choi et al., IEEE-EDL vol.28, no.8, p.743 (2007) [3] F. Mayer et al., IEDM Tech Dig., p.163 (2008) [4] T. Krishnamohan et al., IEDM Tech Dig., p.947 (2008) Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

26 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

27 Transistor Performance Trend Drive Current (ma/um) nm 1.0 V, 100 na I OFF 45nm 65nm 90nm 130nm PMOS Strain Hi-k-MG Other Classic scaling Gate Pitch (nm) 100 Strain is a critical ingredient in modern transistor t scaling Strain was first introduced at 90nm, and its contribution has increased in each subsequent generation Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

28 Strain in modern devices Stress memorization Wei, VLSI 2007 Mayuzumi, IEDM 2007 Ghani, IEDM 2003 Yang, IEDM 2008 Auth, VLSI 2008 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

29 ORIENTATION (100) surface top down (110) surface top down (100) <110> <110> <100> Standard wafer / direction <100> (100) Surface / <110> channel <111> <110> (100) Surface / <100> (a 45 degree wafer) Both <110> directions are the same. (110) <110> Non-standard (110) Surface Three possible channel directions <110> <111> and <100> <100> Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

30 ORIENTATION (100) surface top down (110) surface top down (100) <110> <100> Standard wafer / direction <100> (100) Surface / <110> channel <111> <110> (100) Surface / <100> (a 45 degree wafer) Both <110> directions are the same. (110) <110> Non-standard (110) Surface <110> <100> (100) BEST NMOS (110) <110> BEST PMOS Three possible channel directions <110> <111> and <100> Yang AMD/IBM EDST 2007 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, A

31 Orientation and Strain: More complex for non-(100) orientations (100) <110> (001) Surface (k =0) (001) Surface Vg=-1V (001) Surface Vg=-1V, Sxx=-1GPa (110) (110) Surface (k =0) (110) Surface Vg=-1V (110) Surface Vg=-1V, Sxx=-1GPa <110> BULK 1 D CONFINED 1 D CONFINED STRAINED Kuhn/Packan, Kelin Intel, Kuhn IEDM / Int l 2008 Symp. on Adv. Gate Stack Technology/ Sept. 29th,

32 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

33 Planar Resistive Elements R CONTACT R SILICIDE R INTERFACE R EPI R ACCUMULATION R SPREADING Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

34 Planar Resistive Elements Racc: Sub-melt Laser Anneal 1E+17 R CONTACT tration (atoms/cm3) Concent 1E+22 1E+21 1E+20 1E+19 1E+18 Phosphorus #0 - No Laser #4-91%, 60us #5-88%, 60us #6-85%, 60us #7-54%, 200us Depth (nm) Depth (nm) Kuhn, IWJT q R SILICIDE 1E+21 R INTERFACE 1E+20 Racc: Solid-phase Epi Regrowth (cm-3) R EPI 1E+19 R ACCUMULATION 1E+18 B in Si 1E R SPREADING Depth (nm) Kuhn, IWJT Concentration Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, A

35 Planar Rinterface: Resistive Reduction Elements Racc: Sub-melt in Laser Anneal 1E+17 Co Mn Fe 27;4 V 25;4 26;4 0.7 Ti 23;4 R CONTACT 22;4 Cr 24;4 R SILICIDE q B R interfaceq exp 1E+22 Schottky Barrier Height N D i (e.v.) ns tration (atoms/cm3) 1E+21 1E+20 Schottky theory Experiment 5 th period transition metals #0 - No Laser #4-91%, 60us #5-88%, 60us #6-85%, 60us #7-54%, 200us 4 th period transition 1E+19 6 th period transition metals Ni 28;4 Concent Y 39;5 1E+18 Zr 40;5 Phosphorus Kuhn, IWJT metals Pd 46;5 Rh 0 10 Ru 45; ;5 Mo Nb 42;5 Depth (nm) 41;5 Gd 64;6 Lanthanides Dy 66;6 Er 68;6 Yb 70;6 Hf 72;6 Ta 73;6 W 74;6 Os 76;4 Re 75;6 Ir 77;6 Pt 78;6 Desired for PMOS Si Mid- Gap 0.1 1E+21 R INTERFACE 1E Racc: Solid-phase Epi Regrowth (cm-3) Desired for NMOS R EPI 1E+19 Schottky Barrier Height Reduction is a critical area for 1E+18 development. Techniques R ACCUMULATION under investigation include B in Si exotic alloys, implants, and Fermi-unpinning 1E+17 layers R SPREADING Depth (nm) Kuhn, IWJT Concentration Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, B

36 Planar Resistive Elements Rcontact: Decreased Resistance of the Contact Metal R CONTACT Kuhn, IWJT R SILICIDE R INTERFACE Copper Contacts R EPI Topol, VLSI 2006 R ACCUMULATION R SPREADING Kuhn, IWJT Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, C

37 Schottky barrier S/D an option? Metallic SD Hole barrier set by SBH Electron leakage set by Eg-SBH Larson Spinnaker TED 2006 Conventional In a metal SB-MOS, S/D forms an atomically abrupt Schottky-barrier having the height b. The extreme limit for metal in the S/D regions (with associated improvements in Rext) Unconventional operation (field emission device in the ON state) Needs complementary devices (midgap silicide or two silicides) Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

38 Metallic SD Hole barrier set by SBH Schottky barrier S/D an option? Electron leakage set by Eg-SBH Larson Spinnaker TED 2006 Zhu IEEE TED May 2009 In a metal SB-MOS, S/D forms an atomically abrupt Schottky-barrier having the height b. The extreme limit for metal in the S/D regions (with associated improvements in Rext) Unconventional operation (field emission device in the ON state) Needs complementary devices (midgap silicide or two silicides) Two possible conduction paths: Thermionic over the barrier good SS slope Tunneling through the barrier poor SS slope Conventional 29A Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

39 Schottky barrier S/D an option? Electron leakage set by Eg-SBH Benefits Low bulk resistance contacts to the channel Very abrupt junctions No random s/d dopant fluctuation effects Minimize s/d carrier-carrier scattering effects Challenges Poor experimental drive currents Requires bandedge Schottky barriers Ambipolar conduction (high drain-body leakage for bulk devices) Metallic SD Conventional Early contact formation limits midsection process temperatures Larson Spinnaker Need alternative approach for s/d stressors TED 2006 Hole barrier set by SBH Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, B

40 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

41 Planar Capacitive Elements Cfringe to Contact Cfringe to facet Cjunction Cfringe to diffusion (of/if) Cxud - device component of Cov (XUD-based) Gated-edge junction Cchannel component of Cgate Area junction Kuhn, Intel, IEDM SC Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, 2010

42 Planar Capacitive Elements Cfringe to Contact Cfringe to facet Cfringe to diffusion (of/if) Cxud - device component of Cov (XUD-based) Cjunction SiBCN (Low-K) SPACER Ko TSMC VLSI 2008 Gated-edge junction Cchannel component of Cgate Area junction SPACER REMOVAL Liow NUS Singapore EDL 2008 Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th, 2010 Kuhn, Intel, IEDM SC A

43 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

44 Looking Forward Low risk Enhancements in strain technology Enhancements in HiK/MG technology Medium Risk Optimized substrate and channel orientation Reduction in MOS parasitic resistance Reduction in MOS parasitic capacitance High risk UTB devices MuGFETS Nanowires 3 D Stacked Devices Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

45 Q&A Kelin Kuhn / Int l Symp. on Adv. Gate Stack Technology/ Sept. 29th,

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution US Korea NanoForum April 2009 Accelerating the next technology revolution CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective Prashant Majhi Front End Processes, SEMATECH Copyright

More information

III-V Heterojunction Tunnel FETs Joachim Knoch

III-V Heterojunction Tunnel FETs Joachim Knoch III-V Heterojunction Tunnel FETs Joachim Knoch Micro- and Nanoelectronics Devices Group Micro and Nanoelectronics Devices Group TU Dortmund University, 44227 Dortmund, Germany Introduction - TFETs Operation

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Fully Depleted SOI Technologies. Bich-Yen Nguyen

Fully Depleted SOI Technologies. Bich-Yen Nguyen Fully Depleted SOI Technologies Bich-Yen Nguyen Acknowledgements SOITEC Team: Jean-Michel Bidault Nicolas Daval Frederic Allibert Ludovic Ecarnot Konstantin Bourdelle Walter Schwarzenbach Mariam Sadaka

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

Smartphone Market Driving 7nm & 5nm Node 3-D Devices and Stacked Devices

Smartphone Market Driving 7nm & 5nm Node 3-D Devices and Stacked Devices Smartphone Market Driving 7nm & 5nm Node 3-D Devices and Stacked Devices John Ogawa Borland J.O.B. Technologies Aiea, Hawaii www.job-technologies.com July 14, 2017 1 Outline Introduction: Smartphone Market

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Design of Nanoscale 3-T DRAM using FinFET

Design of Nanoscale 3-T DRAM using FinFET IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 8, Issue 1 (Nov. - Dec. 2013), PP 01-05 Design of Nanoscale 3-T DRAM using FinFET R.Baskar

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Recent Enhancements in BSIM6 and BSIM-CMG model

Recent Enhancements in BSIM6 and BSIM-CMG model Recent Enhancements in BSIM6 and BSIM-CMG model Yogesh S. Chauhan*, Juan P. Duarte, Harshit Agarwal*, Sourabh Khandelwal, Chenming Hu *IIT Kanpur, India University of California, Berkeley MOS-AK Berkeley

More information

ICP-OES. By: Dr. Sarhan A. Salman

ICP-OES. By: Dr. Sarhan A. Salman ICP-OES By: Dr. Sarhan A. Salman AGENDA -- ICP-OES Overview - Module removal Optical components and gas control overview Module replacement --Continue module replacement Manual optical alignment --Precise

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE24 - Spring 20 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework due on Wednesday Quiz # next Monday, March 7 2 Outline Last lecture Variability This lecture SRAM 3 Practical

More information

Volatile and Non Volatile Thin film embedded memory solutions

Volatile and Non Volatile Thin film embedded memory solutions NANO2012 Volatile and Non Volatile Thin film embedded memory solutions Pascale Mazoyer, Sophie Puget, Germain Bossu Rossella Ranica, Alexandre Villaret, Pascal Masson 1, Jean Michel Portal ², Philippe

More information

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Low Power SRAM Techniques for Handheld Products

Low Power SRAM Techniques for Handheld Products Low Power SRAM Techniques for Handheld Products Rabiul Islam 5 S. Mopac, Suite 4 Austin, TX78746 5-4-45 rabiul.islam@intel.com Adam Brand Mission College Blvd Santa Clara, CA955 48-765-546 adam.d.brand@intel.com

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1 1 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd.

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1 Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd. CTO March 1, 2018 1 SE-77-3018-L1 SCREEN Semiconductor Solutions

More information

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University Talk Outline Quo Vadis FinFET devices? Quo Vadis FinFET standard cells and logic circuits? Addressing the Power Wall

More information

INTERNATIONAL TECHNOLOGY ROADMAP

INTERNATIONAL TECHNOLOGY ROADMAP INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION PROCESS INTEGRATION, DEVICES, AND STRUCTURES THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

SOI Technology: IBM s Next Advance In Chip Design

SOI Technology: IBM s Next Advance In Chip Design SOI Technology: IBM s Next Advance In Chip Design I- Introduction As with IBM s leadership manufacturing microchips using copper interconnect technology, the company has now announced what it believes

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

ENDF/B-VII.1 versus ENDFB/-VII.0: What s Different?

ENDF/B-VII.1 versus ENDFB/-VII.0: What s Different? LLNL-TR-548633 ENDF/B-VII.1 versus ENDFB/-VII.0: What s Different? by Dermott E. Cullen Lawrence Livermore National Laboratory P.O. Box 808/L-198 Livermore, CA 94550 March 17, 2012 Approved for public

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes.

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes. Fujitsu Semiconductor Successfully Embeds Flash Memory onto DDC Technology Further expands scope of the Mie Plant s process technology for low-power devices Yokohama, Japan, December 10, 2013 Fujitsu Semiconductor

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.260 ISSN(Online) 2233-4866 Process Variation on Arch-structured Gate

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

DOWNLOAD OR READ : SEMICONDUCTOR DEVICES EXPLAINED USING ACTIVE SIMULATION PDF EBOOK EPUB MOBI

DOWNLOAD OR READ : SEMICONDUCTOR DEVICES EXPLAINED USING ACTIVE SIMULATION PDF EBOOK EPUB MOBI DOWNLOAD OR READ : SEMICONDUCTOR DEVICES EXPLAINED USING ACTIVE SIMULATION PDF EBOOK EPUB MOBI Page 1 Page 2 semiconductor devices explained using active simulation semiconductor devices explained using

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1 US 2013 0082320A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2013/0082320 A1 Alberhasky et al. (43) Pub. Date: Apr. 4, 2013 (54) STRAPPED DUAL-GATE VDMOS DEVICE (52) U.S.

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008 I/O and ESD Device Optimization for Nanometer Node CMOS Technologies IRCC IIT-Bombay industry impact award 2008 Team members Mayank Shrivastava (Ph.D. from IIT-Bombay, Graduated in 2010) Faculty Members

More information

Development of innovative ALD materials for high density 3D integrated capacitors

Development of innovative ALD materials for high density 3D integrated capacitors Development of innovative ALD materials for high density 3D integrated capacitors Malte Czernohorsky General Trend: System miniaturization Integration of passive components Capacitors Inductors Resistors

More information

Laser Applications for Photovoltaics Crystalline and Thin Film Technologies

Laser Applications for Photovoltaics Crystalline and Thin Film Technologies LASERS & MATERIAL PROCESSING I OPTICAL SYSTEMS I INDUSTRIAL METROLOGY I TRAFFIC SOLUTIONS I DEFENSE & CIVIL SYSTEMS Laser Applications for Photovoltaics Crystalline and Thin Film Technologies Back contact

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F1 - Power devices: diodes» Switches» pn Junction» Diode models» Dynamic behavior» Zener diodes AY 2015-16 26/04/2016-1

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing SEMATECH Workshop on 3D Interconnect Metrology Chris Lee July 11, 2012 Outline Introduction Motivation For New Metrology

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS

TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS TimeSPOT WP3 Workshop: Introduction to 28 nm CMOS Valentino Liberali INFN and Dipartimento di Fisica, Università di Milano Via Celoria, 16 Milano valentino.liberali@mi.infn.it Milano, Jan. 2018 V. Liberali

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information