Fully Depleted SOI Technologies. Bich-Yen Nguyen

Size: px
Start display at page:

Download "Fully Depleted SOI Technologies. Bich-Yen Nguyen"

Transcription

1 Fully Depleted SOI Technologies Bich-Yen Nguyen

2 Acknowledgements SOITEC Team: Jean-Michel Bidault Nicolas Daval Frederic Allibert Ludovic Ecarnot Konstantin Bourdelle Walter Schwarzenbach Mariam Sadaka Phuong Nguyen Carlos Mazure Olivier Bonnin Christophe Malevillle Justin Wang CEA/Leti Team: Olivier Weber Francois Andrieu Maul Vinet Olivier Faynot IBM Team: Kangguo Cheng Ali Khakifirooz Bruce Doris Ghavam Shahidi STM Team: Qing Liu Franck Arnaud Nicolas Planes Giorgio Cesana Global Foundries : Scott Luning 2

3 CMOS Landscape Beyond 28nm Node Value propositions of the Planar Fully Depletet SOI Technology Performance and Power Benchmarking FDSOI Device and Substrate Roadmap Summary

4 CMOS Landscape Beyond 28nm 4

5 Challenges of Continued CMOS Scaling Increased standby power dissipation Amplified V th variability Source: IBM, T.C. Chen, ISSCC Impact Yield Limit Vdd scaling

6 Leakage Power is still a Major Issue Despite the Use of Hi-K Dielectric High-K/Metal Gate Stack SiON/Poly Gate Stack Source: IBS 6

7 New Device Architectures nm 45 nm 22nm 65nm 32nm Strained Silicon Introduction of New Materials High-K / Metal Gate Introduction of New Device Architecture Fully Depleted Devices MOS-AK/GSA Workshop, April

8 Fully Depleted Technology Landscape Intel IBM STM Foundries 16/14 nm Foundries Foundries

9 Value Propositions of the Planar Fully Depleted SOI Technology 9

10 Alternate FD Device Architectures: Planar FDSOI or Vertical Multi-Gate FinFET-SOI G S D Minimum Design Disruption S G D Buried OX Max scalability S G D Bulk Si Conventional Planar Bulk Transistor Bulk Si Planar Single-or double Gate FDSOI Buried oxide Vertical Multiple-Gate FinFET SOI

11 The End of Conventional MOSFET- The Era of FD Device Architecture 22nm Planar 11

12 Planar ETSOI Structure and Advantages Gate Material Junctions Film & BOX Isolation Body Bias Thin Silicon Channel Ground Plane Hybrid Bulk Total dielectric isolation Lower S/D capacitances Lower S/D leakages Latch-up immunity Ultra thin Body (TSi~1/3LG) Excellent short channel immunity =>Low SCE, small SS & DIBL No channel doping, no pocket implant Improved V T variation Ultra thin BOX option Back bias control Ground plane implantation V T adjustment Source: STM J. Hartmann,, GSA Apr. 2012

13 Threshold Voltage Mismatch vt (mv.µm m) A v Source: Thean et al, Freescale, IEDM2003 Measurement Bulk 1 Bulk 2 Bulk 3 Bulk 4 PDSOI FDSOI 60% Reduction 50% Reduction nmos pmos A vt = q 2 N ch W dep / C ox A Vt (mv.um) Benchmarking Bulk platform FDSOI MOSFETs This work FDSOI IBM alliance 32nm ST FDSOI ST 45nm Intel 65nm Intel 45nm ST 65nm ST GAA IBM 90nm IMEC FinFET Hitachi FDSOI Source: O. Weber et al, Leti CEA, IEDM2007 Gate length L (nm) Square Vd=1V circle Vd=50mV Device matching important to SRAM/Analog circuits (eg. Current mirrors) FDSOI (undoped channel) features 50-60% mismatch improvement over bulk CMOS and PDSOI (doped channel) 13

14 Scaling rules down to 8nm node TCAD with Electrostatic considerations Required TSOI (nm) NanoWire TSOI (thick BOX=145nm) TSOI (UTBOX case) nm 10nm 25nm T BOX = 145nm T BOX = 25nm DIBL=100mV/V L G (nm) 5nm Tsi Scalability possible down to L G ~10nm, thanks to UTBOX Courtesy of CEA-LETI, O. Faynot et. al. IEDM 2010; 14

15 Multi-VT Solution with Dual Metal Gate/GP ld voltage (V) 0,8 0,6 0,4 0,2 0 Logic SRAM LVT RVT HVT SHVT nmos GP-N GP-P GP-N GP-P nmos pmos TiN LVT TaAlN BOX BOX N-GP P-GP Metal nmos pmos TiN RVT TaAlN BOX BOX P-GP N-GP GP change change nmos TaAlN BOX N-GP nmos TaAlN BOX P-GP HVT SHVT pmos TiN BOX P-GP pmos TiN BOX N-GP Threshol -0,2-0,4-0,6 GP-P pmos GP change GP-N metal change GP-P GP-N -0,8 TiN TaAlN/TaN O. Webber et al., IEDM 10 Multi Vt requirement for SoC can be achieved for FDSOI device using dual WF metal-gate and ground-plane approach without back-bias MOS-AK/GSA Workshop,, April 11-12, 2013

16 Body Bias: Speed & Power Control FDSOI Workshop San Francisco, Feb FDSOI MOS-AK/GSA Workshop, April 11-12,,

17 Multi-VT Modulation for ETSOI with Back Bias Leti- VLSI 2010 Q. Liu, ST, VLSI 2010 VT tuning with BOX = 10nm and VBB, GP N and PMOS: VT modulation of 200mV for 10nm BOX No degradation of Ion-Ioff trade-off with back-bias up to +/-2V 17

18 C2 - Confidential 18

19 C2 - Confidential 19

20 ETSOI Structure by IBM Lg= 25nm Tsi= 6nm B - SiGe K. Cheng et al, IBM, VLSI 2009 In-situ boron doped SiGe S/D: Lower S/D resistance Reduces parasitic capacitance 20

21 20nm FDSOI Performance Improvement VLSI nm FDSOI on Thick BOX K. Cheng et al, IBM, VLSI 2011 Ion for both N- and PMOS improved by optimizing S/D resistant and Tinv. 20nm FDSOI RO delay at 0.9v improved by 20% as compared to those of 28nm Bulk RO C2 -at Confidential 1v 21

22 Boosting FDSOI Performance with subtrate & strain engineering IBM, A. Khalifizoor-VLSI 2012 More perf gain DC performance of FDSOI is comparable to state of the art planar-bulk devices Smaller Lg and junction area => better AC performance 22

23 C2 - Confidential 23

24 C2 - Confidential 24

25 FDSOI in a Nutshell FD SOI solves most of the CMOS scaling challenges FD SOI is SoC friendly FD SOI design is equivalent to Bulk FD SOI process cost equivalent to Bulk LP (28nm) FD SOI is a scalable technology FD SOI is risk-free alternative to FinFET for LP/G products 25

26 Planar FD SOI Value Proposition FD SOI brings a easy manufacturing path to develop high h performance and low power CMOS process derivatives Simple planar technology and transistor architecture High performance at low supply voltage Easy way to build different VTs for SoC design On top of poly biasing, body biasing bring tremendous flexibility to the SoC design FD SOI enables time effective technology and design solutions Re-use of most of the Bulk process FEOL modules, BEOL is fully identical Migrating digital it Bulk libraries i and designs to FD SOI is a re-characterization ti and signoff FD SOI wafer easily etched to implement bulk structures and IPs EDA flow and design techniques remain identical as Bulk FD SOI delivers a same performance as those 28nm HP technologies, without back bias (BB) or higher performance with BB at the cost of a 28nm LP process 26

27 Planar FDSOI vs. Bulk Performance/Power Benchmark 27

28 CONFIDENTIAL

29 CONFIDENTIAL

30 CONFIDENTIAL

31 IBM Research (A/ /µm) FP + I OF I OFFN RO Comparison (ETSOI vs. FinFET) ETSOI FinFET V 10-8 V DD = 0.9V 0.8V 0.7V Delay (ps/stage) I off = 200nA/µm V DD RO Delay (ps/stage) 0.9V 0.7V ETSOI finfet* 13.5 *C. Auth, et al. Presented at Symp. VLSI Tech., 2012 ETSOI RO is faster than state-of-the-art the art finfet Courtesy of Bruce Doris, IBM K. Cheng et al. IEDM

32 Yield Learning Equivalent to Bulk Process

33 Planar FDSOI Adoption and Roadmap 33

34 CONFIDENTIAL

35 CONFIDENTIAL

36 FD SOI Migration Path 28nm FDSOI 28nm SLP 113CPP 90Mx 113CPP 90Mx nm FDSOI 20nm LPM 90CPP 64Mx 90CPP 64Mx Courtesy of ST Low risk and effective TTM strategy to migrate Bulk platforms to FD SOI Straightforward path to re re-characterize characterize 20nm LPM design environment to 14nm FD SOI 36

37 Soitec FD-2D Substrate Options Ultra Thin Top Silicon Layer Ultra Thin Buried Oxide Base Silicon Ultra Thin Top Silicon Layer Ultra Thin Buried Oxide S G D Base Silicon Base Silicon Ultra Thin Top Silicon Layer Ultra Thin Buried Oxide Base Silicon S G D Soitec FD-2D Evo20 S G Base Silicon D Soitec FD-2D Evo14 with ssoi Sampling now: SOI + strain Soitec FD-2D Evo28 In prod now Base Silicon Sampling now 28 nm 22 nm / 20 nm 15 nm / 14 nm 37

38 FD-2D Substrate Uniformity: Thin SOI & Thin Box SOI BOX Base wafer Perc centage Percentage nm BOX 250 ±6 A W2W Range < 7 A Wafer-to-wafer thickness (Å) BOX Thickness Mean Min Mean Max A A A BOX Thickness Mean Within wafer thickness (Å) BOX Thickness Range Min Mean Max 2.7 A 44A A SOI Thickness 3.13 A 6sigma +4Å +2Å 120Å -2Å -4Å W2W thickness 120 ±5 5A ints SOI rol (Å) All wafers, all po thickness contr BOX Thickness Range 1 year production at prime spec 12 April

39 Summary 39

40 Planar FD SOI Summary 1. FD SOI provides outstanding benefits for high performance, battery powered devices Leading edge performance across the full Vdd range Good speed vs leakage trade-off Record Vdd min for logic and SRAM Full flexibility in IP design with dynamic voltage scaling and biasing Better performances than a G process at the cost of an LP technology 2. FD SOI offers a low risk design and manufacturing path for CMOS process derivatives at 28, 20 and 14nm No major disruption from current Bulk CMOS process manufacturing Same EDA flow and design techniques as planar Bulk Digital designs easy to re-characterize on FD SOI 3. Industry first Fully-Depleted SOC using 28nm FDSOI technology was demonstrated by STM/STE with 3GHz performance 4. 28nm and 14nm FD SOI will be available in foundries in 2013 and 2014, respectively

41 FD-SOI provides unique value Faster Transistors run at higher frequencies up than bulk CMOS enabling faster processors This puts more powerful devices in the hands of the end user Cooler Transistors are more power efficient than bulk CMOS with lower leakage and much wider range of operation points down to lower voltages End user devices run cooler and last longer. Simpler The manufacturing process for FD-SOI is much simpler than alternatives and making extensive use of existing fab infrastructure Design porting from bulk is simple and fast Chip architecture t and construction ti are simpler and software is simpler for devices manufacturers 41 (10)

42 Thank You

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics The FD-SOI technology for very high-speed and energy efficient SoCs Giorgio Cesana STMicroelectronics 2 FD-SOI Technology height Bulk Transistor Reaching Limits at 20nm 3 FD-SOI = 2D Limited body bias

More information

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016 FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN SITRI FDSOI workshop l 08/09/2016 OUTLINE FDSOI technology overview From bulk to UTBB-FDSOI FDSOI technology flavors Comparison between the different

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

SOI based platforms for IoT optimized Applications. Director

SOI based platforms for IoT optimized Applications. Director SOI based platforms for IoT optimized Applications Carlos Mazure Executive Director Director Giorgio Cesana Executive Co- Agenda SOI Consortium in a nut shell IoT opportunities and challenges FD-SOI Solutions

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES Introducing the 22FDX 22nm FD-SOI Platform from GLOBALFOUNDRIES March 2016 Introduction Selecting a next generation technology platform for your new product is a critical decision. Product requirements

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution US Korea NanoForum April 2009 Accelerating the next technology revolution CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective Prashant Majhi Front End Processes, SEMATECH Copyright

More information

Low Power SRAM Techniques for Handheld Products

Low Power SRAM Techniques for Handheld Products Low Power SRAM Techniques for Handheld Products Rabiul Islam 5 S. Mopac, Suite 4 Austin, TX78746 5-4-45 rabiul.islam@intel.com Adam Brand Mission College Blvd Santa Clara, CA955 48-765-546 adam.d.brand@intel.com

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Design of Nanoscale 3-T DRAM using FinFET

Design of Nanoscale 3-T DRAM using FinFET IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 8, Issue 1 (Nov. - Dec. 2013), PP 01-05 Design of Nanoscale 3-T DRAM using FinFET R.Baskar

More information

Peering into Moore s

Peering into Moore s Peering into Moore s Crystal Ball: Transistor Scaling beyond the 15nm node Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology Portland Technology Development Intel Corporation Kelin Kuhn

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Design Techniques for 45nm SOI Technology. Christophe Frey Engineering manager SOI design center

Design Techniques for 45nm SOI Technology. Christophe Frey Engineering manager SOI design center Design Techniques for 45nm SOI Technology Christophe Frey Engineering manager SOI design center Agenda SOI introduction SOI design Challenges Standard cell design IO design History effect characterization

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Volatile and Non Volatile Thin film embedded memory solutions

Volatile and Non Volatile Thin film embedded memory solutions NANO2012 Volatile and Non Volatile Thin film embedded memory solutions Pascale Mazoyer, Sophie Puget, Germain Bossu Rossella Ranica, Alexandre Villaret, Pascal Masson 1, Jean Michel Portal ², Philippe

More information

Ultra-Low-Voltage Nanometer CMOS Circuits for Smart Energy- Autonomous Systems

Ultra-Low-Voltage Nanometer CMOS Circuits for Smart Energy- Autonomous Systems Ultra-Low-Voltage Nanometer CMOS Circuits for Smart Energy- Autonomous Systems David Bol, Cédric Hocquet, Dina Kamel, Julien De Vos, Denis Flandre and Jean-Didier Legat Microelectronics Laboratory BWRC

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Enabling Next Generation Semiconductor Product Innovations with 22FDX

Enabling Next Generation Semiconductor Product Innovations with 22FDX FDSOI SYMPOSIUM APRIL 13TH, 2016 SAN JOSE Enabling Next Generation Semiconductor Product Innovations with 22FDX Subramani Kengeri Vice President, CMOS Platforms Business Unit GLOBALFOUNDRIES - Company

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

What every computer scientists should know about computer architects

What every computer scientists should know about computer architects What every computer scientists should know about computer architects Henri-Pierre Charles Bastien GIRAUD, Jean-Philippe NOËL, Maha KOOLI, Clément TOUZET CEA DACLE department / Grenoble 21/11/2017 2 Dynamic

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

WITH THE continuously scaled-down CMOS technology,

WITH THE continuously scaled-down CMOS technology, 2626 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 10, OCTOBER 2012 Power-Rail ESD Clamp Circuit With Ultralow Standby Leakage Current and High Area Efficiency in Nanometer CMOS Technology Chih-Ting

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Development of innovative ALD materials for high density 3D integrated capacitors

Development of innovative ALD materials for high density 3D integrated capacitors Development of innovative ALD materials for high density 3D integrated capacitors Malte Czernohorsky General Trend: System miniaturization Integration of passive components Capacitors Inductors Resistors

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University Talk Outline Quo Vadis FinFET devices? Quo Vadis FinFET standard cells and logic circuits? Addressing the Power Wall

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Optimizing Standby

Optimizing Standby Optimizing Power @ Standby Circuits and Systems Jan M. Rabaey Chapter Outline Why Sleep Mode Management? Dynamic power in standby Clock gating Static power in standby Transistor sizing Power gating Body

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES M. PREMKUMAR 1, CH. JAYA PRAKASH 2 1 M.Tech VLSI Design, 2 M. Tech, Assistant Professor, Sir C.R.REDDY College of Engineering,

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

VBIT COURSE MATERIAL VLSI DESIGN

VBIT COURSE MATERIAL VLSI DESIGN UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2μm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS

More information

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

High Performance Electronics Integration in Flexible Technology

High Performance Electronics Integration in Flexible Technology High Performance Electronics Integration in Flexible Technology February 10, 2011 www.americansemi.com 2011 American Semiconductor, Inc. All rights reserved. About American Semiconductor Corporate Headquarters

More information

DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY

DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY DESIGN AND IMPLEMENTATION OF UNIVERSAL GATE USING DG-FinFET 32NM TECHNOLOGY SEEMA MEHTA 1, DEVESH KISHORE 2, AASTHA HAJARI 3 PG Scholar 1, Assistant Professor 2,3 Shiv Kumar Singh Institute of Technology

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information