2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

Size: px
Start display at page:

Download "2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated"

Transcription

1 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1

2 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma Synopsys Cathy Rogers John Studdars Magma Rob Macys Jonathan White Cadence Jonathan Lee Andrew Stanaski 2

3 Why OpenDFM? High level, EDA tool independent meta-language fully supported by major EDA tool vendors. Portability across the various DRC tools, so no need to re-code when switching tools. Saves time and money. Contains the critical commands for rule check generation. Allows the use of native tool coding when required. Uses a common TCL-based parser and individual vendor libraries to quickly generate DRC decks per vendor platform. Cool! 3

4 What s in OpenDFM? The OpenDFM (DRC) toolbox contains more than 100 DRC related commands. Currently there are over 40 of these commands up and running in all of the major vendor libraries. Examples of the critical commands: resize, grow, shrink derive (using logical layer operations) space, width, length, enclosure, extension, area special end-of-line commands 4

5 End-Of-Line (EOL) Details Note: drawing from OpenDFM check_eol_space spec 5

6 Development Si2, TI, Cadence, Magma and Synopsys work closely together to define/refine the opendfm DRC commands. TI has daily interactions with the tool vendors to develop, evaluate and debug these commands. Very simple gds layout test cases (pass/fail) that are specifically built for DRC code validation are used. 6

7 Coding TI coded a 28nm DRC deck using OpenDFM (>1100 rules coded). Used existing production (native) deck for comparison of results. For simple rule checks usually only one OpenDFM command is needed thanks to a comprehensive set of options per command. For more complicated rule checks a set of multiple OpenDFM commands is used. Use in-line native coding for those rule checks we are unable to write with the current OpenDFM commands. 7

8 Coding Examples set NW_S_1 300 dfmc::drawn_layer \ -rule_name drawn_1:1 \ -layer_name NWELL \ -layer_numbers {1} \ -data_types {1} \ -database_type rectilinear dfmc::check_space \ -rule_name NW.S.1 \ -in_layer NWELL \ -space_less_than $NW_S_1 \ -extension_type square \ -cmnt "NWELL minimum space is $NW_S_1 nm (sq. corner)" 8

9 Coding Examples set POLY_LINE_END_W 75 set POLY_LINE_END_L 25 set PO_S_3 60 dfmc::check_eol_space \ -rule_name PO.S.3 \ -in_layer ALLPOLY \ -space1_less_than 1 \ -space2_less_than $PO_S_3 \ -eol_extent_equals $PO_S_3 \ -width1_less_equal $POLY_LINE_END_W \ -width2_greater_than 1 \ -eol_length_greater_than $POLY_LINE_END_L \ -marker_layer NOT_SRAM \ -cmnt "POLY_LINE_END minimum space to ALLPOLY is $PO_S_3 nm" 9

10 Evaluation Initial OpenDFM vendor library evaluations were done using simple test case layouts (supplied to Si2 for member fanout). To more fully QC the vendor libraries a large (5mm x 5mm) layout database, which had a significant number of layout rule errors, was used. Both vendor library code and DRC deck errors were easily found and quickly corrected thanks to the close working relationships. Released alpha and beta versions of the 28nm DRC deck to an ongoing design project to be used in addition to the native code production DRC deck. 10

11 Metrics EDA Supplier A EDA Supplier B EDA Supplier C OpenDFM commands done Unit Rule Regression TI 28nm DRC Deck Coverage OpenDFM rules to Native rules ratio Large Design Run Time * 42/103 42/103 42/ % Pass 100% Pass 100% Pass 85% 85% 85% 6:1 6:1 6:1 1.0X 1.2X 2.1X * 28nm, 5mm x 5mm, 3.7GB test case on 8 cpu, 128GB linux server 11

12 Conclusion Outstanding commitment and support by Si2 and major EDA tool vendors. Transportable code allows for painless DRC tool changeovers. Efficient command list makes for fast and simple coding. Successful beta testing across multiple tool platforms. Currently using OpenDFM DRC as a secondary tool at the 28nm technology node. Plan to use OpenDFM DRC as the production tool at the 20nm technology node and beyond. 12

13 Q & A Please feel free to contact me at: f-valente@ti.com 13

14 Backup Slides 14

15 DRC Deck Complexity Increasing Thousands of Ground Rules for Physical Verification Multiple Rule Decks 15 Source: IBM DFMC Workshop

16 Rule Complexity Multiplied Across Tools Rules Document DRC Sign-off List DRC Sign-off List DRC Sign-off List DRC Sign-off List Proprietary Input Language Proprietary Input Language Proprietary Input Language Proprietary Input Language DRC Engine A DRC Engine B DRC Engine C DRC Engine D 16 Source: Jake Buurma, Si2

17 Extensible Library of Pattern Verification The OpenDFM Parser does not change when you add a new rule ~100 Files Adding a new DFM check only requires adding a new library routine in Tcl Targeting Functions DRC Checks CMP Checks Eol Checks 17

18 OpenDFM Verification Approach OpenDFM Test Case Layouts Layouts with No DRC Errors OpenDFM Parser Parser Implementation Layouts with Known DRC Errors API Socket API Socket API Socket DRC Engine A Plug-in DRC Engine B Plug-in DRC Engine C Plug-in =? OK Engine A Code Engine B Code Engine C Code =? OK Texas Instruments provided a contribution of the layouts to the DFMC Source: Jake Buurma, Si2 18

19 Options Tested Corner Extensions 19

20 Options Tested Edge Pairs Edge Pairs: all edge_to_edge edge_to_corner corner_to_corner edge_to_corner_or_edge 20

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

PDK Automation An IBM Perspective

PDK Automation An IBM Perspective PDK utomation n IBM Perspective Matthew Graf, OPDKC James Culp, ODFMC Si2 Con Oct. 20 th, 2011 IBM s PDK Development History Timeline 1998 2009 OpenPDK OpenDFM Chip Design groups develop their own PDK

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

DRVerify: The Verification of Physical Verification

DRVerify: The Verification of Physical Verification DRVerify: The Verification of Physical Verification Sage Design Automation, Inc. Santa Clara, California, USA Who checks the checker? DRC (design rule check) is the most fundamental physical verification

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

In-design DFM rule scoring and fixing method using ICV

In-design DFM rule scoring and fixing method using ICV In-design DFM rule scoring and fixing method using ICV Vikas Tripathi, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw and Jonathan Ong Globalfoundries Singapore Pte. Ltd. Singapore www.globalfoundries.com

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

Process Agnostic Library Migration Automation

Process Agnostic Library Migration Automation Need for Speed: Process Agnostic Library Migration Automation Joseph Murray Lijun Li Outline Motivation Approach PyCell Studio Cadence SKILL Comparison Summary Why Process Agnostic Migration Automation

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Release Notes & Install Guide

Release Notes & Install Guide REALTIME 6.0-Beta Patch-2 Release Notes, 13 March, 2003 Release Notes & Install Guide Contents CONTENTS... 1 INSTALLS AND UPGRADES... 2 Important Instructions... 2 MAJOR FEATURES... 3 SUPPORTED PLATFORMS...

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

Page 1 of 6 Performance Metrics Paper Learn to drive performance in this Cognos Metrics Software White Paper www.cognos.com/scorecarding HOME :: JOB LISTINGS :: WEBCASTS :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

More information

INTRODUCTION TO SOFTWARE ENGINEERING

INTRODUCTION TO SOFTWARE ENGINEERING INTRODUCTION TO SOFTWARE ENGINEERING Introduction to Software Testing d_sinnig@cs.concordia.ca Department for Computer Science and Software Engineering What is software testing? Software testing consists

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Setting up the IBM 65nm libraries in Cadence 6.1

Setting up the IBM 65nm libraries in Cadence 6.1 Setting up the IBM 65nm libraries in Cadence 6.1 Preeti Mulage v1 (Jan, 2010) 1. Setting up Cadence 6.1 and Spectre MMSIM 7.1 a. You need to incorporate these lines in order to bring up the latest 6.1

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

U4L4B Box Problem - TI Nspire CAS Teacher Notes

U4L4B Box Problem - TI Nspire CAS Teacher Notes U4L4B Box Problem - TI Nspire CAS Teacher Notes You are provided with a sheet of metal that measures 80 cm by 60 cm. If you cut congruent squares from each corner, you are left with a rectangle in the

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Computer Fundamentals

Computer Fundamentals Computer Fundamentals 1 Draw the block diagram of computer architecture and explain each block. Computer is made up of mainly four components, 1) Central processing unit (CPU) 2) Input section 3) Output

More information

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling SPISim1 SPISim Modeling Suite IBIS, IBIS-AMI model generation and general modeling SPISim EDA expertise in Signal, Power Integrity and Simulation EDA focusing on SI and PI: SPISim is an EDA company specialized

More information

Unit II. (i) Computer Programming Languages

Unit II. (i) Computer Programming Languages Unit II. (i) Computer Programming Languages Need of a computer programming language: A programming language is an artificial language designed to communicate instructions to a computer. Thousands of different

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Scripting Tutorial - Lesson 9: Graphical Shape Numbers

Scripting Tutorial - Lesson 9: Graphical Shape Numbers Home TI-Nspire Authoring TI-Nspire Scripting HQ Scripting Tutorial - Lesson 9 Scripting Tutorial - Lesson 9: Graphical Shape Numbers Download supporting files for this tutorial Texas Instruments TI-Nspire

More information

Compute Infrastructure Management: The Future. Fred van den Bosch CTO, EVP Advanced Technology VERITAS Software Corporation

Compute Infrastructure Management: The Future. Fred van den Bosch CTO, EVP Advanced Technology VERITAS Software Corporation Compute Infrastructure Management: The Future Fred van den Bosch CTO, EVP Advanced Technology VERITAS Software Corporation Agenda Evolving role of IT Evolution of Compute Infrastructure Management Storage

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee

An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee An Introduction to the Unified Coverage Interoperability Standard UCIS Technical Committee Motivation for UCIS Verification is hard -

More information

Digital Signage Management Software

Digital Signage Management Software Digital Signage Management Software VERSION 2.0 Quick Start Guide COPYRIGHT NOTICE The information in this document is subject to change without prior notice in order to improve reliability, design, and

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

How Cisco ASR 1000 Enables Cisco Business Strategies by Providing Capacity and Resiliency for Collaborative Applications

How Cisco ASR 1000 Enables Cisco Business Strategies by Providing Capacity and Resiliency for Collaborative Applications Cisco IT Case Study How Cisco ASR 1000 Enables Cisco Business Strategies by Providing Capacity and Resiliency for Collaborative Applications Cisco ASR 1000 Routers support company shift toward virtualized

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

HP StorageWorks Command View TL TapeAssure Analysis Template White Paper

HP StorageWorks Command View TL TapeAssure Analysis Template White Paper HP StorageWorks Command View TL TapeAssure Analysis Template White Paper Part Number: AD560-96083 1 st edition: November 2010 HP StorageWorks Command View TL TapeAssure Analysis Template The TapeAssure

More information

Synergize Database Management Guide

Synergize Database Management Guide Synergize Database Management Guide All Customers V1 Copyright Information Copyright 2015Microdea Inc. All rights reserved. The following publication, baseline documentation document for Univeris was developed

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

ACT-R 6.0 AGI Manual Working Draft. Dan Bothell

ACT-R 6.0 AGI Manual Working Draft. Dan Bothell ACT-R 6.0 AGI Manual Working Draft Dan Bothell Table of Contents Table of Contents...2 Introduction...3 Background...4 A Device...4 The UWI...5 The AGI...6 Typical Experiment Design...7 Window Control

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

A holistic Pre-to-Post solution for Post-Si validation of SoC s

A holistic Pre-to-Post solution for Post-Si validation of SoC s A holistic Pre-to-Post solution for Post-Si validation of SoC s Yael Abarbanel yael.abarbanel@intel.com Eli Singerman eli.singerman@intel.com Sean Baartmans sean.baartmans@intel.com DAC 2011 User Track

More information

The TAU 2017 Contest

The TAU 2017 Contest The TAU 2017 Contest Timing Macro Modeling Song Chen Synopsys [Speaker] Akash Khandelwal Cadence Xin Zhao IBM Corp. Xi Chen Synopsys Sponsors: TAU 2017 Workshop March 16 th -17 th, 2017 1 Why Timing Macro

More information

Heidelberg Instruments VPG200 Conversion software "x-convert"

Heidelberg Instruments VPG200 Conversion software x-convert Heidelberg Instruments VPG200 Conversion software "x-convert" design in layout editor format Convert_V10_2017-05-30 design cut in stripes Heidelberg internal format "lic" Step 0 retrieve design data your-pc

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Figure 2: Dell offers significant savings per chassis over HP and IBM in acquisition costs and 1-, 3-, and 5-year TCO.

Figure 2: Dell offers significant savings per chassis over HP and IBM in acquisition costs and 1-, 3-, and 5-year TCO. WHITE PAPER OCTOBER Total cost of ownership (TCO) of Dell, HP, and TCO comparison Dell Inc. (Dell) commissioned Principled Technologies (PT) to compare the total cost of ownership (TCO) of three blade

More information

Applying the ASAM ODS Data Format in the CoCo-80

Applying the ASAM ODS Data Format in the CoCo-80 DATA DATA DATA 830 Stewart Drive, Suite B302, Sunnyvale, CA 94085 Applying the ASAM ODS Data Format in the CoCo-80 Introduction The CoCo-80 is the first hand held data acquisition device to incorporate

More information

TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee

TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee 1 TPC-Energy Specification TPC s Energy Specification subcommittee was formed in December 2007, and

More information

Storage Performance Validation for Panzura

Storage Performance Validation for Panzura Storage Performance Validation for Panzura Ensuring seamless cloud storage performance for Panzura s Quicksilver Product Suite WHITEPAPER Table of Contents Background on Panzura...3 Storage Performance

More information

Creating a Title Block & Border Using Chief Architect. Architectural Design & Residential Construction Penncrest High School

Creating a Title Block & Border Using Chief Architect. Architectural Design & Residential Construction Penncrest High School Creating a Title Block & Border Using Chief Architect Architectural Design & Residential Construction Penncrest High School 2017-2018 Select New Layout to begin designing your Title Block. Note: Once the

More information

MotelMate. Demo guide. Motel Managment Software from Skagerrak Software. Thank you for trying MotelMate!

MotelMate. Demo guide. Motel Managment Software from Skagerrak Software. Thank you for trying MotelMate! MotelMate Motel Managment Software from Skagerrak Software Demo guide Installing and Opening the Demo. To install the Motel Mate Demo on Windows or Mac: 1. Insert the demo disk into the CD drive. 2. Open

More information

MANUAL. Welcome Thank you and congratulations on your purchase of the NISIS G3 USB Graphic Tablet.

MANUAL. Welcome Thank you and congratulations on your purchase of the NISIS G3 USB Graphic Tablet. MANUAL Setting up your NISIS EASYPEN G3 USB Graphic Tablet NISIS G3 USB Graphic Tablet Installation Guide Rev 1.4 Welcome Thank you and congratulations on your purchase of the NISIS G3 USB Graphic Tablet.

More information

Embedded Software Dynamic Analysis. A new life for the Virtual Platform

Embedded Software Dynamic Analysis. A new life for the Virtual Platform Embedded Software Dynamic Analysis A new life for the Virtual Platform The Software Part of HW/SW Co-Design Integrated with DA flow: regression and up-to-date interfaces Simultaneous development and test

More information

AP-GTR1000 GPS based Embedded NTP Server

AP-GTR1000 GPS based Embedded NTP Server AP-GTR1000 GPS based Embedded NTP Server NTP Server Duplication www.addpac.com AddPac Technology Sales and Marketing Contents Product Overview Hardware Specification Simple NTP (Network Time Protocol)

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

Design-Rule-Aware Congestion Model with Explicit Modeling of Vias and Local Pin Access Paths

Design-Rule-Aware Congestion Model with Explicit Modeling of Vias and Local Pin Access Paths Qi ZD, Cai YC, Zhou Q. Design-rule-aware congestion model with explicit modeling of vias and local pin access paths. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 30(3): 614 628 May 2015. DOI 10.1007/s11390-015-1515-4

More information

OpEx Drivers in the Enterprise

OpEx Drivers in the Enterprise OpEx Drivers in the Enterprise A Plexxi Perspective PLEXXI WHITE PAPER Affinity Networking for Data Centers and Clouds IDC research indicates that capital costs account for nearly two-thirds of the purchasing

More information

Audio-Visual Instructions

Audio-Visual Instructions Audio-Visual Instructions Important note! The slide presentation format will be Widescreen HD Format (16:9 aspect ratio). See page 3 for instructions to convert your slide presentation to the widescreen

More information

ClearSpeed Visual Profiler

ClearSpeed Visual Profiler ClearSpeed Visual Profiler Copyright 2007 ClearSpeed Technology plc. All rights reserved. 12 November 2007 www.clearspeed.com 1 Profiling Application Code Why use a profiler? Program analysis tools are

More information

ISO Tool Confidence Level (TCL)

ISO Tool Confidence Level (TCL) ISO 26262 Tool Confidence Level (TCL) John Brennan, Product Management Director, SVG Steve Lewis, Product Management Group Director, CPG Rob Knoth, Product Management Director, DSG Randal Childers, Director,

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Three General Principles of QA. COMP 4004 Fall Notes Adapted from Dr. A. Williams

Three General Principles of QA. COMP 4004 Fall Notes Adapted from Dr. A. Williams Three General Principles of QA COMP 4004 Fall 2008 Notes Adapted from Dr. A. Williams Software Quality Assurance Lec2 1 Three General Principles of QA Know what you are doing. Know what you should be doing.

More information

Quote by Bruce Sterling, from: A Software Testing Primer, Nick Jenkins

Quote by Bruce Sterling, from: A Software Testing Primer, Nick Jenkins Software Testing Why Test? Quote by Bruce Sterling, from: A Software Testing Primer, Nick Jenkins https://www.typemock.com/software-bugs-infographic A bug found at design time costs ten times less to fix

More information

Khronos Data Format Specification

Khronos Data Format Specification Copyright Khronos Group 2015 - Page 1 Khronos Data Format Specification 1.0 release, July 2015 Andrew Garrard Spec editor Senior Software Engineer, Samsung Electronics Copyright Khronos Group 2015 - Page

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Tutorial - FactoryPLAN

Tutorial - FactoryPLAN Tutorial - FactoryPLAN Tutorial - FactoryPLAN In the FactoryPLAN tutorial, you will use FactoryPLAN to plan the layout for a manufacturing area. You will create all the necessary files using the actual

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

A 65 nm Design Tape-Out in 6 Weeks

A 65 nm Design Tape-Out in 6 Weeks A 65 nm Design Tape-Out in 6 Weeks Andreas Olofsson and Roman Trogan Adapteva. S San Jose, CA March 10, 2010. Project Description Design: Multi-million gate ASIC with > 50 hard macros and hundreds of high

More information

Open Server Architecture

Open Server Architecture EAB/OP-08:0052 Uen Rev A Open Server Architecture April 2008 Technology Paper The Open Server Architecture is flexible, open and easier to build applications on. This is achieved primarily through open

More information

User Guide. for TAHOE 8622

User Guide. for TAHOE 8622 User Guide for TAHOE 8622 TAHOE 8622 User Guide REV: 01 07/27/2017 PAGE 1 OF 37 TABLE OF CONTENTS 1. INTRODUCTION... 5 1.1 PRODUCT DESCRIPTION... 5 1.2 STANDARD FEATURES... 5 1.3 FUNCTIONAL DIAGRAM...

More information

Heidelberg Instruments VPG200 Conversion software "x-convert"

Heidelberg Instruments VPG200 Conversion software x-convert Heidelberg Instruments VPG200 Conversion software "x-convert" design in layout editor format Convert_V09_2016-11-07 design cut in stripes Heidelberg internal format "lic" Step 0 retrieve design data your-pc

More information

TIPS4Math Grades 4 to 6 Overview Grade 4 Grade 5 Grade 6 Collect, Organize, and Display Primary Data (4+ days)

TIPS4Math Grades 4 to 6 Overview Grade 4 Grade 5 Grade 6 Collect, Organize, and Display Primary Data (4+ days) Collect, Organize, and Display Primary Data (4+ days) Collect, Organize, Display and Interpret Categorical Data (5+ days) 4m88 Collect data by conducting a survey or an experiment to do with the 4m89 Collect

More information

PowerPoint Introduction. Video: Slide Basics. Understanding slides and slide layouts. Slide Basics

PowerPoint Introduction. Video: Slide Basics. Understanding slides and slide layouts. Slide Basics PowerPoint 2013 Slide Basics Introduction PowerPoint presentations are made up of a series of slides. Slides contain the information you will present to your audience. This might include text, pictures,

More information

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007 Elastix TM Corporation Enabling energy-efficient efficient chips Vigyan Singhal President and CEO December 20, 2007 1 Electronic chip design flow always @ (posedge clk) if (sel) q

More information

Honeywell Automation Server

Honeywell Automation Server Honeywell Automation Server With Digital Deck Advanced Media Manager User Guide Copyright and Trademark Copyright 2005 by Digital Deck, Inc. and may not be reproduced in whole or in part, by any means,

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Create PDFs from Markup with Python. Lorna Mitchell

Create PDFs from Markup with Python. Lorna Mitchell Create PDFs from Markup with Python Lorna Mitchell Meet rst2pdf https://rst2pdf.org Open source, Python project Accepts ReStructured Text format, outputs PDF Useful for: reports, slide decks,... all sorts

More information

PROFESSIONAL BRANDED DESIGN. for non-designers. PresentationXpert WEBINAR // the 20 minute TEMPLATE BETHANY AUCK // SLIDERABBIT

PROFESSIONAL BRANDED DESIGN. for non-designers. PresentationXpert WEBINAR // the 20 minute TEMPLATE BETHANY AUCK // SLIDERABBIT PROFESSIONAL BRANDED DESIGN for non-designers PresentationXpert WEBINAR // 2015 the 20 minute BETHANY AUCK // SLIDERABBIT MATERIALS NEEDED Brand colors Brand fonts, if applicable High Resolution Logo and

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Next Generation Storage for The Software-Defned World

Next Generation Storage for The Software-Defned World ` Next Generation Storage for The Software-Defned World John Hofer Solution Architect Red Hat, Inc. BUSINESS PAINS DEMAND NEW MODELS CLOUD ARCHITECTURES PROPRIETARY/TRADITIONAL ARCHITECTURES High up-front

More information

Grassroots Research And Advocacy Movement

Grassroots Research And Advocacy Movement Grassroots Research And Advocacy Movement Procurement Notification No: GRAAM/PRG/SS/2018-19 Date: 12 th July 2018 Inviting Quotations for computers and other gadgets GRAAM has taken up a social project

More information

LeeSpInS 2.0 Help Guide

LeeSpInS 2.0 Help Guide LeeSpInS 2.0 Help Guide http://leegis.leegov.com/leespins/ E-mail us at gis@leegov.com with any questions or issues using this site Navigation Tools Pan arrows scroll the map in the arrow direction or

More information