PDK Automation An IBM Perspective

Size: px
Start display at page:

Download "PDK Automation An IBM Perspective"

Transcription

1 PDK utomation n IBM Perspective Matthew Graf, OPDKC James Culp, ODFMC Si2 Con Oct. 20 th, 2011

2 IBM s PDK Development History Timeline OpenPDK OpenDFM Chip Design groups develop their own PDK Enablement Org formed. Develops a unified PDK for multiple design groups Enablement Organization develops unified multi vendor PDK Few common standards PDK was process centric Mostly IP Test validated Many of today s 200mm kits evolved from the GR s and process specs from these PDKs One Common PDK Multi Vendor Decks Multiple Extraction Flows Design Rule standards Formal test regressions Investment in automation Litho Rules appear DFM initiatives Device Scaling Issues Common multi vendor PDK Engineered ctive Devices DFM Matures Sub Resolution Patterning Continue: utomation Investment Multiple Extraction Flows Design rule standards Formal test regressions

3 Today s IBM s 300mm PDK creating standards for automation Goundrules & Process ssumptions LVS Truth Table Design Manual Database Matrix of # s XML Semi auto Supporting uto/man Function. Test SKILL SKILL TVF Tools PERL ICV TCL PERL DIT 1 Design Manual 10/24/2011

4 Creating PDK Standards to support Reuse examples DRC: Groundrule (name, wording, supporting code), and Testcases Device Library: Parameters (definition, shape name, function, format), units, symbols. LVS: Device Name, Parameters, Pins, Code, and Testcases PEX: Process ssumptions, PEX function, Translators from base to vendor specific input file formats, and Testcases. IP Test procedures: Obj: Consistent DRC & LVS results for multiple vendor decks. Focus on types of IP used, methods of reporting errors.

5 Resulting in: Common functional code: (some examples are) DRC: Connectivity, Space, Width, Enclosure, Memory Exceptions LVS: Compare, Merge, Connect, Stress Calculator Library : Range Checks, Max & Min Checks, Grid Snapping uto Testcase generation code & Test Results Summary software utomation of Extraction Flow comparisons Exercised various Netlisters, LVS & PEX decks, Simulators Comparing simulation results for defined benchmark layouts Improved Development focus on New PDK additions Improved Kit Quality and, on average, faster response time.

6 Return on Investment? ~75-80% code reuse from one technology to the next up thru 28nm. Goundrules & Process ssumptions LVS Truth Table Design Manual Database Tech Skill TVF ICV TCL PERL Tools Test ~75 80% reuse Reuse examples: Same GR wording (less #s), Same deck code (less #s), Same testcase gencode (less #s) Technology s #s come from DMDB DIT 1 Design Manual Design Manual B Tech B Skill TVF ICV TCL PERL Tools Test B 1 DIT: is an XML architecture for designing, writing, managing, and publishing information. 10/24/2011

7 DMDB Consistency Checks n On Going Focus Goal: ssure content correctness of the Design Manual DataBase. Examples of inconsistencies: o New DRC rule conflicts with an existing rule o Typo errors in Database o New Layer requirements not fully specified ddressed by stack holder prereviews and new consistency check tools Stack holder review (Pre DMDB update) DMDB update uto DMDB Consistency Checking PDK Development PDK Test PDK Release

8 Historical DRC, Library, PEX Code Reuse 90% 80% 70% % Reuse of code 60% 50% 40% 30% 20% 10% Reasons for trend change? Lithography: Pitch Constraints MOL Changes Sub resolution Patterning Engineered ctive Devices: Thin Film Devices Fin FETS Estimate 0% 90nm 65nm 45nm 32/28nm 22/20nm 14nm

9 With so much post 28nm technology change, should we still invest in standards? The simple answer, we believe, is yes! Most of the change in post 28nm technologies are additions. We are still using much of the base rules & code from previous. Many of the new changes are applied similarly to multiple layers/devices. Substantial reuse of code within the newer technologies. Many of the GR modifications thru process development are still # changes Testchip PDK Production PDK But: Newer PDKs (beyond 28nm) require increased startup development.

10 Next step in this PDK Development process? Industry Issue: Escalating cost in developing multi vendor PDKs Our nswer: Industry Standards for PDK Development Why we chose to work thru Si2! solid Legal umbrella for collaboration joint ownership. Responsible, responsive standard s body ccepted by the ED Vendors that we work with. Si2 Goal is to gain an Industry Consensus!

11 OpenPDK Coalition ctivities Strategy: Targeted Workgroups Gilles Namur & Barry Nelson OPS Open Process Specification Mission: Develop industry standard specifications producing a electronic document describing all the objects needed to generate a complete PDK. Chair: Flavien Delauche SCP Symbol, Callback, Parameters (encompassing 4 sub workgroups) Mission: Develop device parameter & Callback standard specifications ugment Si2 Symbol library with additional symbols, parameters, and properties Symbols Chair: Rich Morse Parameter Definition Chair: Li Chien Ting Simulation Interface Chair: Kristin Liu Parameter Checking and Relationships Chair: Ted Paone

12 Proposed OPDK utomation Flow WG Owners / rchitects Si2 STNDRD Schemas (XSD) MODULR OPS Technology OPS (XML) XML 2 Internal Format Convertor Internal Format Enhanced Symbols SCP WG Callbacks Parameters SPICE Netlist Flow DFMC Layers & Constraints* DRC/DFM LVS/PEX(LPE) Ty Targeting OPS WG Test Harness OPS Format Tech Input Type Model E.g., Fake edrm Data Flow SPECS DT CODE * P O C not complete Data In/Out Spec Validation Plug into OPS Spec Component OPS Standard Format OPS Consumer Format Vendor written

13 Next Step in PDK Development..OpenPDK "single source" for multi-vendor PDK development OPS SCP Input Once... Design Manual Database Content & Output Format XSD Schema XML Language Tools/Test Tech Vendor specific PDKs ED Vendor ED Vendor B ED Vendor C Design Manual ED Vendor D Innovation Through Collaboration 10/24/

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Automatic Cell Layout in the 7nm Era

Automatic Cell Layout in the 7nm Era Automatic Cell Layout in the 7nm Era Pascal Cremer, Stefan Hougardy, Jan Schneider, and Jannik Silvanus Research Institute for Discrete Mathematics University of Bonn March 21, 2017 1 / 24 Increasing complexity

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

METADATA INTERCHANGE IN SERVICE BASED ARCHITECTURE

METADATA INTERCHANGE IN SERVICE BASED ARCHITECTURE UDC:681.324 Review paper METADATA INTERCHANGE IN SERVICE BASED ARCHITECTURE Alma Butkovi Tomac Nagravision Kudelski group, Cheseaux / Lausanne alma.butkovictomac@nagra.com Dražen Tomac Cambridge Technology

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Detailed Presentation

Detailed Presentation Detailed Presentation PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDKs are being rapidly adopted worldwide by leading foundries and design houses because of their quality and ease

More information

EDA - Electronic Design Automation or Electronic Design Assistance?

EDA - Electronic Design Automation or Electronic Design Assistance? EDA - Electronic Design Automation or Electronic Design Assistance? NSF Workshop Electronic Design Automation Past, Present, and Future Andreas Kuehlmann NSF Workshop, July 8 9 2009 The Past The Vision

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE!

DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE! OA DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE! OPDK Reference Symbol Library Ruby Tools: Translate SYMBOLS: OA to XML & OA to SVG 89 symbols OA opdksymbolchk.py * XSD/XML Validation * Constraints

More information

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway AIM Photonics Silicon Photonics PDK Overview March 22, 2017 Brett Attaway Silicon Photonics Process Design Kits (PDK) PDK 3 technologies, 2 major releases/year Full (active)- v1.0 available now Passive-

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

To ITIL and Beyond: Operational Discipline via Process

To ITIL and Beyond: Operational Discipline via Process To ITIL and Beyond: Operational Discipline via Process Glenn O Donnell 1 To ITIL and Beyond: Operational Discipline via Process Building IT credibility with structured process discipline ITIL as a basis

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Network configuration management at CERN

Network configuration management at CERN Arkadiy Shevrikuko Stefan Stancu Network configuration management at CERN 10/8/2018 1 Outline Network overview Current solution: cfmgr Overview of open-source platforms Evolution plan 10/8/2018 2 Network

More information

Introduction to the standard

Introduction to the standard Introduction to the standard 2016-04-27, Geneva Niclas Andreasson Niclas.andreasson@havochvatten.se Points Definition Development Implementation Governance Maintenance 4/27/2016 Introduction to the standard

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

VIEW POINT. Choosing the right automation tool and framework is critical to project success. Harsh Bajaj, Technical Test Lead ECSIVS, Infosys

VIEW POINT. Choosing the right automation tool and framework is critical to project success. Harsh Bajaj, Technical Test Lead ECSIVS, Infosys VIEW POINT Choosing the right automation tool and framework is critical to project success Harsh Bajaj, Technical Test Lead ECSIVS, Infosys Introduction Organizations have become cognizant of the crucial

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Big Data for Smart Cities Connected Vehicles in the Wireless World

Big Data for Smart Cities Connected Vehicles in the Wireless World Big Data for Smart Cities Connected Vehicles in the Wireless World Dr Nigel Jefferies WWRF Chairman Huawei Technologies 3rd March 2016 Will IoT trigger next industrial revolution? Size of the IoT opportunity

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

The AMIE Model. A packet has a number of properties. These are type, version, packet id, and state. It also has a list of expected replies.

The AMIE Model. A packet has a number of properties. These are type, version, packet id, and state. It also has a list of expected replies. Overview The AMIE model consists of two sites and an agreed upon set of transactions that the two sites will use to send account management data to each other. A transaction consists of packets of data

More information

IBM Lotus Notes and Lotus Domino 7 software: the new face of business collaboration and communications.

IBM Lotus Notes and Lotus Domino 7 software: the new face of business collaboration and communications. Collaboration and communication solutions Executive Brief November 2005 IBM Lotus Notes and Lotus Domino 7 software: the new face of business collaboration and communications. Contents 2 Executive summary

More information

Putting people first: Future-ready meetings and teamwork. Next-generation meeting solutions

Putting people first: Future-ready meetings and teamwork. Next-generation meeting solutions Putting people first: Future-ready meetings and teamwork Next-generation meeting solutions Expectations are running higher than ever. The seamless technology experience we re used to at home has changed

More information

ActiveVOS Technologies

ActiveVOS Technologies ActiveVOS Technologies ActiveVOS Technologies ActiveVOS provides a revolutionary way to build, run, manage, and maintain your business applications ActiveVOS is a modern SOA stack designed from the top

More information

In-design DFM rule scoring and fixing method using ICV

In-design DFM rule scoring and fixing method using ICV In-design DFM rule scoring and fixing method using ICV Vikas Tripathi, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw and Jonathan Ong Globalfoundries Singapore Pte. Ltd. Singapore www.globalfoundries.com

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

The Value of Data Modeling for the Data-Driven Enterprise

The Value of Data Modeling for the Data-Driven Enterprise Solution Brief: erwin Data Modeler (DM) The Value of Data Modeling for the Data-Driven Enterprise Designing, documenting, standardizing and aligning any data from anywhere produces an enterprise data model

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Personal Grid. 1 Introduction. Zhiwei Xu, Lijuan Xiao, and Xingwu Liu

Personal Grid. 1 Introduction. Zhiwei Xu, Lijuan Xiao, and Xingwu Liu Personal Grid Zhiwei Xu, Lijuan Xiao, and Xingwu Liu Institute of Computing Technology, Chinese Academy of Sciences 100080 Beijing, China Abstract. A long-term trend in computing platform innovation is

More information

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016 GF14LPP-XL AMS Reference Flow for FINFET Technology Rajashekhar Chimmalagi Design Methodology April 5 th 2016 Agenda 1 FinFET & FinFET Challenges 2 GF Reference Flows 3 Ref Flow Design 4 Ref Flow Modules

More information

THE STATE OF IT TRANSFORMATION FOR RETAIL

THE STATE OF IT TRANSFORMATION FOR RETAIL THE STATE OF IT TRANSFORMATION FOR RETAIL An Analysis by Dell EMC and VMware Dell EMC and VMware are helping IT groups at retail organizations transform to business-focused service providers. The State

More information

SNIA/DMTF Work Register. Version 1.4

SNIA/DMTF Work Register. Version 1.4 Page 1 of 5 Background and Purpose SNIA/DMTF Work Register Version 1.4 The SNIA has been working on the development of Storage related standards based upon DMTF technologies for several years. Much of

More information

IETF Topics and Internet Evolution

IETF Topics and Internet Evolution IETF Topics and Internet Evolution Jari Arkko Chair, Internet Engineering Task Force (IETF) Expert, Ericsson Research!!! Photo and graphic credits in this presentation: Olaf Kolkman, ietf.org, arkko.com,

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

Implementing a Ground Service- Oriented Architecture (SOA) March 28, 2006

Implementing a Ground Service- Oriented Architecture (SOA) March 28, 2006 Implementing a Ground Service- Oriented Architecture (SOA) March 28, 2006 John Hohwald Slide 1 Definitions and Terminology What is SOA? SOA is an architectural style whose goal is to achieve loose coupling

More information

The Cloud Evolution. Tom Kilroy, Vice President General Manager, Digital Enterprise Group

The Cloud Evolution. Tom Kilroy, Vice President General Manager, Digital Enterprise Group The Cloud Evolution Tom Kilroy, Vice President General Manager, Digital Enterprise Group 1 Agenda Ÿ Intel Digital Enterprise Group Ÿ Evolution of Cloud Computing Ÿ Intel Activities In Cloud Computing 2

More information

Fundamental Concepts and Models

Fundamental Concepts and Models Fundamental Concepts and Models 1 Contents 1. Roles and Boundaries 2. Cloud Delivery Models 3. Cloud Deployment Models 2 1. Roles and Boundaries Could provider The organization that provides the cloud

More information

Software-defined Storage by Veritas

Software-defined Storage by Veritas ESG Technology Showcase Software-defined Storage by Veritas Date: August 2015 Author: Scott Sinclair, Analyst Abstract: The days of enterprise storage technology being predominantly constrained to specific

More information

System Scaling Opportunities for Future IT Systems

System Scaling Opportunities for Future IT Systems System Scaling Opportunities for Future IT Systems Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/24/2014 Data growth will drive the new IT model Dimensions of data growth Terabytes to exabytes

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

Grid Code Planner EU Code Modifications GC0100/101/102/104

Grid Code Planner EU Code Modifications GC0100/101/102/104 Grid Code Planner EU Code Modifications GC0100/101/102/104 Place your chosen image here. The four corners must just cover the arrow tips. For covers, the three pictures should be the same size and in a

More information

The Mission of the Abu Dhabi Smart Solutions and Services Authority. Leading ADSSSA. By Michael J. Keegan

The Mission of the Abu Dhabi Smart Solutions and Services Authority. Leading ADSSSA. By Michael J. Keegan Perspective on Digital Transformation in Government with Her Excellency Dr. Rauda Al Saadi, Director General, Abu Dhabi Smart Solutions and Services Authority By Michael J. Keegan Today s digital economy

More information

Implementor Forums : CAx-IF and CAE-IF to accelerate interoperability solutions availability

Implementor Forums : CAx-IF and CAE-IF to accelerate interoperability solutions availability Implementor Forums : CAx-IF and CAE-IF to accelerate interoperability solutions availability By Jean-Marc CREPEL, Associated CAE Expert, AFNeT April 19 & 20, AFNeT Standardization Days - Paris 1 The LOTAR

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

2015 Ed-Fi Alliance Summit Austin Texas, October 12-14, It all adds up Ed-Fi Alliance

2015 Ed-Fi Alliance Summit Austin Texas, October 12-14, It all adds up Ed-Fi Alliance 2015 Ed-Fi Alliance Summit Austin Texas, October 12-14, 2015 It all adds up. Sustainability and Ed-Fi Implementations 2 Session Overview Introduction (5 mins) Define the problem (10 min) Share In-Flight

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

NRS Data Flow and Planning Workbook

NRS Data Flow and Planning Workbook NRS Data Flow and Planning Workbook Department of Education, Office of Career, Technical and Adult Education, Contract No. ED-VAE-15-0-5027 September 2018 Contents Introduction... 1 Overview of the Workbook

More information

Welcome and Introductions Thanks for coming today!!

Welcome and Introductions Thanks for coming today!! Welcome and Introductions Thanks for coming today!! Your IBM technical team welcomes you. Introductions.. Copies of this presentation are available: http://www.ibm.com/developerworks/offers/techbriefings/details/lotus.html

More information

TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee

TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee TPC-Energy Benchmark Development: Mike Nikolaiev, Chairman of the TPC-Energy Specification Committee 1 TPC-Energy Specification TPC s Energy Specification subcommittee was formed in December 2007, and

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

2013 North American Software Defined Data Center Management Platforms New Product Innovation Award

2013 North American Software Defined Data Center Management Platforms New Product Innovation Award 2013 North American Software Defined Data Center Management Platforms New Product Innovation Award 2013 New Product Innovation Award Software Defined Data Center Management Platforms North America, 2013

More information

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Page 1 Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Jon Haas FB-DIMM Initiative Manager Pete Vogt Principal Engineer Copyright 2005. *Third-party brands and names are the

More information

SBS Implementers Forum. Keynote

SBS Implementers Forum. Keynote SBS Implementers Forum - State of the Industry and Future Course - R Keynote By Francis Truntzer, Intel Corp Agenda SBS Specs, looking back SBS-IF Future Organizational Changes 2 4/94: 2/95: 6/96: 9/96:

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

THE RISE OF. The Disruptive Data Warehouse

THE RISE OF. The Disruptive Data Warehouse THE RISE OF The Disruptive Data Warehouse CONTENTS What Is the Disruptive Data Warehouse? 1 Old School Query a single database The data warehouse is for business intelligence The data warehouse is based

More information

PCB Systems Design: The Challenges of the New Normal

PCB Systems Design: The Challenges of the New Normal PCB Systems Design: The s of the New Normal This Is the Electronics Age. Electronics are at the core of everything. And PCB design is the essential foundation for all of it. 4 Electronics Industry Doubles

More information

Tools to Develop New Linux Applications

Tools to Develop New Linux Applications Tools to Develop New Linux Applications IBM Software Development Platform Tools for every member of the Development Team Supports best practices in Software Development Analyst Architect Developer Tester

More information

3rd Lecture Languages for information modeling

3rd Lecture Languages for information modeling 3rd Lecture Languages for information modeling Agenda Languages for information modeling UML UML basic concepts Modeling by UML diagrams CASE tools: concepts, features and objectives CASE toolset architecture

More information

Dell Software Defined Enterprise

Dell Software Defined Enterprise Dell Software Defined Enterprise A practical vision of Future Ready IT Armughan Ahmad Vice President, Dell Enterprise Solutions @ArmughanAA 1 2 3 Defining the Software-Defined Enterprise Strategy for success

More information

Maintenance Procedures. Eva Olofsson, CSC

Maintenance Procedures. Eva Olofsson, CSC Maintenance Procedures Eva Olofsson, CSC Mission The Maintenance Procedures working group provides the forum for determining/developing standards for the media content, format, and structure for maintenance

More information

The Mobile-Phone Domain and CELF. Scott E. Preece Motorola Mobile Devices Linux OS Development

The Mobile-Phone Domain and CELF. Scott E. Preece Motorola Mobile Devices Linux OS Development The Mobile-Phone Domain and CELF Motorola Mobile Devices Linux OS Development linux in mobile phones First Linux-based phones introduced in 2003, in Asia Recent prediction that 204 million Linux handsets

More information

brandguidelines v 1.0

brandguidelines v 1.0 brandguidelines v 1.0 welcome As members, volunteers, staff and partners, each of us plays a key role in expressing our brand. By strongly communicating our brand identity the way we look, what we say

More information

RIPE Policy Development & IPv4 / IPv6

RIPE Policy Development & IPv4 / IPv6 RIPE Policy Development & IPv4 / IPv6 Workshop on the IPv6 development in Saudi Arabia 8 February 2009 Axel Pawlik axel@ripe.net Overview RIPE PDP (Policy Development Process) Current Policy Issues IPv4

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information