Laker and Calibre RealTime, an OA Integration Success Story

Size: px
Start display at page:

Download "Laker and Calibre RealTime, an OA Integration Success Story"

Transcription

1 Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon Division, Mentor Graphics October 20, 2011 Innovation Through Collaboration

2 Agenda Sign-off DRCs in Custom Design An Engineering View of Integration Video demo Summary

3 Growth in Signoff DRC Rules and Complexity Well M1 only M2 M10 only Other Normalized Number of Checks nm 40nm 28nm Technology Node Twice as many checks at 28nm Complexity outpacing designer s ability to memorize! Mentor Graphics Corp.

4 Physical Verification Trends: Increasing DRC Complexity Double Patterning Pattern Match Pattern Match On-grid checks On-grid checks Pitch checks Pitch checks Pitch checks Litho checks Litho checks Litho checks Multi-dimension checks Multi-dimension checks Multi-dimension checks Multi-dimension checks Multi-dimension checks nm nm nm /32 nm /16 nm Mentor Graphics Corp.

5 Physical Verification in Laker Laker rule-driven layout M1.1 M1.2 Dynamic DRC guidance Support advanced production processes Not sign-off Third-party sign-off integrations Run and review a designated window, cell, or chip Sign-off deck, bleeding-edge rules

6 Imagine Sign-off Driven Layout What if we could offer Calibre DRCs in real time? Off-grid enclosure Pattern matching Double patterning Imagine real time 20nm sign-off DRCs while you edit

7 Benefits of Real Time Integration Identify sign-off DRCs upstream while change is relatively inexpensive Reduce lengthy iterative loops Fixes stay fixed, dramatically reducing DRC review time Instant what-if analysis for recommended rules

8 How do you get two competitors. I know the rules and how to check DRCs! I know what s changed and how to fix them!..to work together?

9 The Right Ingredients Calibre is the gold standard for DRCs SpringSoft has experience with partner OA-RTM integration Mentor has experience integrating Calibre engine in Olympus-SOC A perfect marriage?

10 3 rd -party Integration Challenges Interactive speed requires direct access to the in-memory data of the design tool In-memory API s require binary compatibility Compilers and Linkers, including version OA enables tight 3 rd -party integrations Published API Tiered database, +plug-ins, +run-time model Supported by many custom design tools OA integration still requires cooperation, but is manageable Mentor Graphics Corp.

11 Laker Integration Tcl package & shared lib Laker Calibre Client Calibre Server OA Memory Model OA Database Software from 2 vendors, working from a single memory model Mentor Graphics Corp.

12 Calibre RealTime: Custom Design 90nm ~ 1100 checks & 5900 shapes 0.2 sec 28nm: 2805 checks & 6649 shapes 0.3 sec Standard sec RealTime 0.2 sec Mentor Graphics Corp.

13 Laker Integration: Key Technologies OA Observers Shape Observer: Triggered any time a shape is added, deleted, modified, or moved Instance Observer: Triggered any time an instance of a cell is added, deleted, or moved Laker s Tcl APIs Used for tool-access APIs Registering custom commands Loading C++ shared libraries for performance Used Tcl package mechanism to load shared library Requires platform and compiler compatibility Used existing APIs for 90% of the integration Mentor Graphics Corp.

14 Laker Integration: Challenges and Solutions Provided toolbar for error navigation SpringSoft provided hooks to install a toolbar Layer mapping is not in OA memory model Used Tcl APIs to access layer mapping Visible and edited layer checks Used Tcl APIs to access information Software distribution RealTime code distributed with Calibre product Loaded into Laker through startup script Cooperative development eased tight integration Mentor Graphics Corp.

15 Selecting Rules Dynamically select checks based on user s activity in the design tool Mentor Graphics Corp.

16 Video Demonstration Mentor Graphics Corp.

17 An Open Eco-System Two competitors who understand what customers want, can work together to create tools users want in an open environment Increasingly, users are recognizing the value of interoperability

18 Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Manual Editing MCells & PCells Routing Innovation Through Collaboration

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

PDK Automation An IBM Perspective

PDK Automation An IBM Perspective PDK utomation n IBM Perspective Matthew Graf, OPDKC James Culp, ODFMC Si2 Con Oct. 20 th, 2011 IBM s PDK Development History Timeline 1998 2009 OpenPDK OpenDFM Chip Design groups develop their own PDK

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Topology Router. - The Intelligence of an Engineer. - The Skill of a Designer - The Speed of Auto-Routing. Dave Wiens. Director, Market Development

Topology Router. - The Intelligence of an Engineer. - The Skill of a Designer - The Speed of Auto-Routing. Dave Wiens. Director, Market Development Topology Router - The Intelligence of an Engineer - The Skill of a Designer - The Speed of Auto-Routing Dave Wiens Director, Market Development Challenges Reduction of design-cycle time for products that

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

EDA - Electronic Design Automation or Electronic Design Assistance?

EDA - Electronic Design Automation or Electronic Design Assistance? EDA - Electronic Design Automation or Electronic Design Assistance? NSF Workshop Electronic Design Automation Past, Present, and Future Andreas Kuehlmann NSF Workshop, July 8 9 2009 The Past The Vision

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor PD Marketing ARM 1 Introduction to Cortex-A15 & Seahawk ARM Cortex-A15 is a high performance engine for superphones,

More information

Process Agnostic Library Migration Automation

Process Agnostic Library Migration Automation Need for Speed: Process Agnostic Library Migration Automation Joseph Murray Lijun Li Outline Motivation Approach PyCell Studio Cadence SKILL Comparison Summary Why Process Agnostic Migration Automation

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

An Introduction to e 2 studio

An Introduction to e 2 studio An Introduction to e 2 studio Axel Wolf, Tools Marketing Manager Class ID: 3C15B Renesas Electronics America Inc. Axel Wolf Product Marketing for Development Tools REA Marketing Unit, MCU Products Based

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

OpenAccess PCells Ed Petrus VP Engineering V2

OpenAccess PCells Ed Petrus VP Engineering V2 OpenAccess PCells Ed Petrus VP Engineering V2 April 2005 Page 1 Copyright 2005 CiraNova, Inc. What is CiraNova about? 4 CiraNova enables analog designers to create migratable, re-usable analog objects

More information

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design. Verifying your IP Objective The purpose of this tutorial is to guide a user through the simulation and verification framework available in quartus. By the end of this tutorial you will be able to : 1.

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

A 65 nm Design Tape-Out in 6 Weeks

A 65 nm Design Tape-Out in 6 Weeks A 65 nm Design Tape-Out in 6 Weeks Andreas Olofsson and Roman Trogan Adapteva. S San Jose, CA March 10, 2010. Project Description Design: Multi-million gate ASIC with > 50 hard macros and hundreds of high

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Investing for Innovation. Warren East CEO

Investing for Innovation. Warren East CEO Investing for Innovation Warren East CEO 1 Growth, Opportunity and Partnership Growing faster than the market Investing in growth opportunities Working together, creating solutions 2 Growing Faster than

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Agile Data Center Solutions for the Enterprise

Agile Data Center Solutions for the Enterprise Solution Brief Agile Data Center Solutions for the Enterprise IP Fabrics: Paving the Way to Digital Transformation The data center sits at the core of the business, housing mission critical applications

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Building the Digital Media Workstream: From project pitch to program purchase

Building the Digital Media Workstream: From project pitch to program purchase MEDIA & ENTERTAINMENT Building the Digital Media Workstream: From project pitch to program purchase Charles Matheson, Industry Strategist, M&E, OpenText The old ways are fading away Big footprint Capital

More information

AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer

AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer Bernd Schröder Fraunhofer IZM, Berlin Munich October 24-25, 2017 Agenda 1 Fraunhofer Institute for Reliability and Microintegration IZM

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

Building Mashups Using the ArcGIS APIs for FLEX and JavaScript. Shannon Brown Lee Bock

Building Mashups Using the ArcGIS APIs for FLEX and JavaScript. Shannon Brown Lee Bock Building Mashups Using the ArcGIS APIs for FLEX and JavaScript Shannon Brown Lee Bock Agenda Introduction Mashups State of the Web Client ArcGIS Javascript API ArcGIS API for FLEX What is a mashup? What

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

Zigbee 3.0 and Dotdot Connecting the IoT. Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand

Zigbee 3.0 and Dotdot Connecting the IoT. Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand Zigbee 3.0 and Dotdot Connecting the IoT Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand 1 We are the Standard Bearer of the Open IoT Established in 2002, our wideranging global membership

More information

co creation IMAGINE HASSLE FREE ONLINE COLLABORATION ON ADOBE INDESIGN FILES CLOUD PLATFORM FOR BRAND MANAGEMENT

co creation IMAGINE HASSLE FREE ONLINE COLLABORATION ON ADOBE INDESIGN FILES CLOUD PLATFORM FOR BRAND MANAGEMENT co creation IMAGINE HASSLE FREE ONLINE COLLABORATION ON ADOBE INDESIGN FILES CLOUD PLATFORM FOR BRAND MANAGEMENT AGENDA BRAND AUTOMATION Why Brand Management Co-creation with the online (HTML5) editor

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

MDF4 Lib. Product Information

MDF4 Lib. Product Information Product Information Table of Contents 1 Overview...3 1.1 Introduction...3 1.2 Application Areas...3 1.3 Overview of Advantages...3 2 Features and Advantages...4 2.1 Supported MDF Versions...4 3 Functional

More information

S5409: Custom Iray Applications and MDL for Consistent Visual Appearance Throughout Your Pipeline

S5409: Custom Iray Applications and MDL for Consistent Visual Appearance Throughout Your Pipeline S5409: Custom Iray Applications and MDL for Consistent Visual Appearance Throughout Your Pipeline DAVE HUTCHINSON CHIEF TECHNOLOGY OFFICER DAVE COLDRON PRODUCT DIRECTOR Today we will cover... Lightworks,

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Enterprise Business. Solution Partner Program Guideline

Enterprise Business. Solution Partner Program Guideline [ 键入文字 ] Huawei Enterprise Solution Partner Program Guidelines Enterprise Business Solution Partner Program Guideline Huawei Technologies Co., Ltd. Huawei Technologies Co., Ltd. i Contents 1 Program Overview...

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Proven video conference management software for Cisco Meeting Server

Proven video conference management software for Cisco Meeting Server Proven video conference management software for Cisco Meeting Server VQ Conference Manager (formerly Acano Manager) is your key to dependable, scalable, self-service video conferencing Increase service

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO Презентация на SEMICON России 2014 Company Introduction Jens Benndorf, Managing Director, COO 14.05.2012-15.05.2014 Dream Chip Technologies is...... an independent fabless semiconductor Chip and IP Design

More information

Windows Presentation Foundation for.net Developers

Windows Presentation Foundation for.net Developers Telephone: 0208 942 5724 Email: info@aspecttraining.co.uk YOUR COURSE, YOUR WAY - MORE EFFECTIVE IT TRAINING Windows Presentation Foundation for.net Developers Duration: 5 days Overview: Aspect Training's

More information

Streaming Real-Time Data into Xcelsius Applications

Streaming Real-Time Data into Xcelsius Applications Streaming Real-Time Data into Xcelsius Applications Using the Xcelsius Connector for Adobe LiveCycle Data Services ES Todd Ruhl Adobe Solutions Architect COPYRIGHT 2008, BUSINESS OBJECTS S.A. AGENDA 1.

More information

JBuilder 2007 Product Tour November 2006

JBuilder 2007 Product Tour November 2006 JBuilder 2007 Product Tour November 2006 Introduction... 3 Eclipse Overview... 4 JBuilder 2007 Overview... 4 ProjectAssist. 5 Graphical EJB Workbench... 6 TeamInsight..7 Conclusion... 10 2 Introduction

More information

High Speed Memory Interfacing 800MHz DDR3 Memory Lab

High Speed Memory Interfacing 800MHz DDR3 Memory Lab High Speed Memory Interfacing 800MHz DDR3 Memory Lab Software Requirements Overview 1) The Quartus II software version 11.1 2) Modelsim software version 11.1 This lab focuses on compiling and simulating

More information

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 Agenda CAD (Computer-Aided Design) General CAD CAD innovation over the years (Short Video) VLSI CAD (EDA) EDA: Where Electronic

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence Karthik Krishnamoorthy - DFM Design Enablement 1 Agenda GLOBALFOUNDRIES update GLOBALFOUNDRIES reference flow In-Design

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

In-design DFM rule scoring and fixing method using ICV

In-design DFM rule scoring and fixing method using ICV In-design DFM rule scoring and fixing method using ICV Vikas Tripathi, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw and Jonathan Ong Globalfoundries Singapore Pte. Ltd. Singapore www.globalfoundries.com

More information

Bridging the gap. New initiatives at ETSI. World Class Standards. between research and standardisation

Bridging the gap. New initiatives at ETSI. World Class Standards. between research and standardisation Bridging the gap between research and standardisation New initiatives at ETSI 4 th e-infrastructure Concertation Meeting 5-6 December 2007 Ultan Mulligan Director, Strategy & New Initiatives, ETSI ETSI

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015

Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015 Innovation in System Design Enablement Cadence Design Systems, Inc. Graser Technology Conference October 2015 Social trends driving multiple layers of technology Datacenter Office Cloud Gateway Device

More information

oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013

oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013 oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013 1 oascript News Chip Designer Centric Python API Tcl API Ruby API Perl API Language-Specific Bindings Type Mapping Type Mapping Type Mapping

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Boost Verification Results by Bridging the Hardware/Software Testbench Gap

Boost Verification Results by Bridging the Hardware/Software Testbench Gap Boost Verification Results by Bridging the Hardware/Software Testbench Gap Matthew Ballance Mentor Graphics Corporation Design Verification Technology Division Wilsonville, Oregon matt_ballance@mentor.com

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

54 th DAC EXHIBITOR PROSPECTUS

54 th DAC EXHIBITOR PROSPECTUS 54 th DAC EXHIBITOR PROSPECTUS Austin Convention Center Austin, Texas Exhibition: June 19-21, 2017 Conference: June 18-22, 2017 DAC.com sponsored by: in technical cooperation with: NETWORK ENGAGE AND EDUCATE

More information

WIND RIVER NETWORKING SOLUTIONS

WIND RIVER NETWORKING SOLUTIONS WIND RIVER NETWORKING SOLUTIONS TRANSFORMING THE NETWORK Businesses of all kinds are benefitting from the transformation of the networks they rely on, from LANs to WANs. Those network transformations are

More information

Bentley OpenPlant PowerPID

Bentley OpenPlant PowerPID Bentley OpenPlant PowerPID Agenda Presenter Rob Harper Director of Product Management OpenPlant PowerPID Overview What is OpenPlant PowerPID OpenPlant PowerPID Features Specification Mapping and 2D to

More information

Cisco Industrial Network Director

Cisco Industrial Network Director Data Sheet Cisco Industrial Network Director Purpose built for managing industrial networks, the Cisco Industrial Network Director (IND) is designed to help operations teams gain full visibility of network

More information

Easy Multicore Programming using MAPS

Easy Multicore Programming using MAPS Easy Multicore Programming using MAPS Jeronimo Castrillon, Maximilian Odendahl Multicore Challenge Conference 2012 September 24 th, 2012 Institute for Communication Technologies and Embedded Systems Outline

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Eclipse as a Web 2.0 Application Position Paper

Eclipse as a Web 2.0 Application Position Paper Eclipse Summit Europe Server-side Eclipse 11 12 October 2006 Eclipse as a Web 2.0 Application Position Paper Automatic Web 2.0 - enabling of any RCP-application with Xplosion Introduction If todays Web

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Smart Capacitive Sensing Design with EFM8 TM and Simplicity Studio TM

Smart Capacitive Sensing Design with EFM8 TM and Simplicity Studio TM Smart Capacitive Sensing Design with EFM8 TM and Simplicity Studio TM Introduction Adding capacitive sensing to a product can be a daunting challenge that requires the developer to maintain sensor robustness

More information