Design Flow for a ASIC design in the rad hard CERN-IBM library

Size: px
Start display at page:

Download "Design Flow for a ASIC design in the rad hard CERN-IBM library"

Transcription

1 Design Flow for a ASIC design in the rad hard CERN-IBM library May 21, :11 pm A. Kluge 1.0 License Server cadstat or cadstat17 summarizes the use of the licenses. With lmremove name_of_license user_host_entries(from cadstat; 3 entries) one can give back licenses. i.e.: lmremove pmoreira sybyl pcvlsi16.cern.ch:0.0 Resore or archive any files with /usr/dsm/dsm. Use df. to find out where the homedir is mounted. 2.0 Necessary init files.cdsenv is created automatically.cdsinit* is created automatically.simrc* is used for simwave.synopsys_dc.setup (see below) cds.lib se.ini 3.0 Using Synopsis - design_analyzer to create a technology dependent verilog netlist Copy the technology files: cmos6sf25.db,cmos6sf25.lib, cmos6sf25s.sdb, cmos6sf25s.slib from the directory: /vlsicad/vlsilibs/ibm_cern/cmos6sf/9910a/synopsis into the working directory. cp /vlsicad/vlsilibs/ibm_cern/cmos6sf/9910a/synopsis/cmos6sf25*. Create.synopsys_dc.setup file in the home directory. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

2 /* Library and Search Path variables */ link_library = { cmos6sf25.db } target_library = { cmos6sf25.db } symbol_library = { cmos6sf25s.sdb } search_path = search_path + "/vlsicad/vlsilibs/ibm_cern/cmos6sf/9910a/synopsis" bus_naming_style = "%s_%d" define_name_rules default_name_rules -allowed "A-Za-z0-9_" -last_restricted "_" -first_restricted "_" -map {{"\*cell\*","u"},{"*-return","ret"}}; /* General */ company = "". Start: design_analyzer &. Analyze => design.v. Elaborate threeff into the library WORK. Select threeff, Attributes => Wire load => 100k. (might cause an overestimation) Attributes => Operating conditions => worst. Select clk in the symbol view, Attributes => Clocks > Specify > Period > 8 ns (125 MHz). Select output ports of threeff > Attributes > Operating Environment > Output Delay > 2ns AND click clk. Analysis > Check Design and clk timing check. Resolve multiple references to a subdesign by: selecting the design view and selecting the top view and choose edit > uniquify > hierarchy. (not necessary for threeff). Select threeff > tools > design optimization. Click verify design and allow boundary optimization > OK. Analysis > Report > Timing > OK. File > Save as > threeff.db File > Save as > threeff_synopsis.v In command window type: write_sdf threeff_synopsis.sdf Now simulation with verilog theoretically possible but compatibility problems with sdf file occur. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

3 4.0 From the Synopsis VERILOG netlist to the placed and routed design Create a cds.lib file in the working directory with a new library: ibmdesignflow_test. 4.1 Import the VERILOG netlist from synopsis into icfb and export it as.def file. opendesignkit & in the.cshrc file setenv CDS_INSTALL /vlsicad/micsoft/ic4.43 setenv SE_DIR /vlsicad/micsoft/dsmse5.2 setenv CDSDIR $CDS_INSTALL/tools Load the technology file into the work library Technology File > Attach to Design Library: ibmdesignflow_test; Technology Library: cmos6sf25techlib. Import VERILOG > threeff_synopsis.v -v Options =(/vlsicad/micsoft/ibm_ep_kit.23_8/designkits/cmos6sf25deskit_v1.0.1/ cmos6sf25/verilog/cmos6sf25_verilogin.v) not sure if it imports the functional view of the library cells -y Options does but only for the old version /vlsicad/vlsilibs/ibm_cern/cmos6sf/ 9910a/verilog/vdd_2.5v/ Reference library:cmos6sf25corelib cmos6sf25padlib cmos6sf25techlib target library: ibmdesignflow_test library ext:.v import as schematics and functional Verilog cell modules: import? Call the schematic view of threeff=> Tools/Floorplan; (version ic4.43 needed) Floorplan => Hierarchy Browser > OK > Select the threeff box => Hierarchy > Generate physical hierarchy; This generates a Autolayout view of the design, it must be exported as a.def file. File > Export; Library: ibmdesignflow_test, cell name threeff, view name autolayout, def file name: threeff_icfb.def, target p&r engine: silicon ensemble In the generated def file: include: COMPONENTS 4 ; - CORNER1 CORNERlogo ; - CORNER2 CORNER ; - CORNER3 CORNER ; - CORNER4 CORNER ; Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

4 END COMPONENTS Copy the lines where gnd! and vdd! are described in the NETS command between the following lines: SPECIALNETS<space>2<space> ; copied lines END SPECIALNETS remove gnd and vdd from normal nets, decrease net count by 2. and save the file as threeff_icfb_special.def. 4.2 Create an abstract from an new component Copy layout into desing library. Tools; Abstract; C3. Draw a rectangle with the layer prbndry dg above the component. (It might be necessary to apply Tools; Layout first.) Autoboundary is available but did not work. Abstract; Set Cell Props: Cell Type: Macro,Cell class: block, cell symmetry, x, y, r90. Abstract; Abgen; Rules library: cmos6sf25techlib In Abstract; Abstract; creat obstruction: layers in M1, M2 and MZ to prevent routing in the block. Export cell as lef file: Create lefout.list file: ibmdesignflow_test csbpadfin_3m abstract analog.lef add site info + symmetry info add SITE io_site add symmetry x y r90 add on pin vdd use power add on pin gnd use gnd all io cells must have 350 um width in the lef file; router connects properly but places component wrongly (manual move in layout necessary) Remove from analog.lef layer and via definition: LAYER RX......END Viagen_M2MZ Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

5 4.3 Place and route the design using standalone silicon ensemble (se) If def file is imported then verilog netlist cannot be exported from def, (problems when using clock tree generator, since it changes the netlist, must be reimported into cadence for LVS) A ctlf file is created for all non standard components from a tlf file. program tlfc file.tlf -o file.tlfc compile the tlf file. An online tutorial is available via the help button in se. cp /vlsicad/micsoft/ibm_ep_kit.23_8/designkits/cmos6sf25deskit_v1.0.1/ cmos6sf25/se/*. (copies also the se.ini file) Comment (#) set v db.design.dir "dbs" ; in se.ini Call silicon ensemble version 5.2 sedsm -m=(memory space in mega byte) but first change.cshrsc file to: setenv SE_DIR /vlsicad/micsoft/dsmse52.qsr4 setenv CDS_INST_DIR $SE_DIR setenv LM_LICENSE_FILE 5280@ set path = ( /vlsicad/micsoft/dsmse52.qsr4/tools.sun4v/dsm/bin /vlsicad/micsoft/ DSMSE52.qsr4/tools.sun4v/bin /vlsicad/micsoft/ibm_ep_kit/designkits/bin $SYN- OPSYS/$ARCH/syn/bin /appl/ihs ~/bin ~ /scripts /usr/ucb /usr/sue/bin /usr/sbin /opt/ SUNWspro/bin /usr/ccs/bin /usr/bin /usr/openwin/bin /usr/dt/bin /usr/local/bin /usr/ local/bin /usr/local/bin/x11 /cern/pro/bin. /bin ) Execute 1_read_lib.mac Import analog.lef. Import the three_icfb_special.def (design.def) file from icfb. or the verilog netlist of the design (especially then when a verilog output file of the placed and routed design is required) Floorplan => Initialize. Assign IO to core Distance (i.e. 40 microns), assign row utilization (i.e. 92% for the the threeff design 50% used), check flip every other row and abut rows, press OK. Move analog block and delete overlapping rows (check rows, select and delete) Place IO (First Random, creates a file ioconstraint.file which can be modified) Execute io_filler.mac Execute macro add_cap_cells.mac (to add CAPL and CAPR) Route => Plan Power. Add Rings; Horizontal Core ring width (i.e 100 microns), Vertical Core ring width (i.e 100 microns) (Core for logic core, block for custom blocks). Add stripes; number 2, width 10 spacing 2 (if bigger cells might be placed in between) Connect Ring; Check Stripe only. Place Cells => Variable: QPLACE.LLC.PREWIRE.KEEPOUT = true => OK. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

6 Place => Clock Tree Generation Place Filler Cells with the execution of the macro: core_filler.mac Route Connect rings => check follow pins only => OK. Connect to power pins manually in layout or in silocon ensemble Route => Clock all => OK. Route => Wroute => OK Save as routed. Export as threeff_routed.def. Report => Report RC => threeff_icfb.rspf design_routed.def can be imported into icfb. Export as threeff_routed.sdf for post placement simulation.(?? which timing delay model) 5.0 Import.def file into icfb import def, library name: ibmdesignflow_test, cell name threeff, view name: layout, def file name: threeff_routed.def, target p&r engine: silicon ensemble, reference library cmos6sf25corelib cmos6sf25techlib cmos6sf25padlib Open layout and replace view fromabstract to layout: Floorplan => Replace View => Layout => all => OK => save. Open new view top_threeff and place threeff there. Add CAPR and CAPL to close guard ring between power strips. Connect power pins to rings manually, use connect contact to create vias and f4 to select lines only when stretching. Attach pins on layout in order to facilitate LVS. Perform DRC, LVS (extraction: flat) and DRACULA (ask Paulo for that) Create GDSii stream by export stream; save or load Template file: StreamOutTemplate; Output file: threff.gdsii Create gdsii stream for layers: TCAPA, GBORG GAA (are not exported in previous stream but are needed to reread and check again. User defined data: layer defined map: strminout.map strminout.map contains: TCAPA drawing 52 0 GAA drawing 51 0 GBORC drawing Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

7 Output file: threeff_slayer.gdsii To check gdsii files, read both files into 2 new libraries. Open a new cell view and put both layers into it and check again. Read in threeff.gdsii into library ibmdesignflow_test_in; dump technology library into an ASCII file first, then import: template file: StreamInTemplate; input file: threeff.gdsii; library name: ibmdesignflow_test_in; Open another library for the special layer gdsii and repeat import process, it might be necessary to include the strminout.map file. Open a new cell and insert both layouts on top of each other (use q to align) and perfrom DRC and LVS (extraction: flat). 6.0 Create a combined sdf using pearl. Use macro write_sdf.cmd to write convert the spdf file to sdf which can be used for verilog backannotation. Call pearl with pearl -gui (source ~/.cshrc_dsm) Use macro read_sdf.cmd cmd>clock -domain c1 -cycle_time 12 I/Out 0 6 cmd>findclockpath cmd>help cmd>timingverify cmd>blockdevice... cmd>showdelayannotationsummary -show_all > tmp cmd> findslownodes cmd> showpossibility cmd> findpathsfrom clk rise_time not specified in CERNLIB1.tlf (cmd> clock -cycle_time 16n clk 1n 1n) cmd> writesdfdelays -precision 3 -ns threeff_pearl.sdf (encodercimt_pearl.sdf) or cmd> writesdfdelays -precision 3 -ns -version 2.1 threeff_pearl_sdf2.1.sdf (encodercimt_pearl.sdf) Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

8 7.0 Read back sdf file from pearl into design_analyzer Attention: if a E_dff_SR_SC is used for instance instead of a AND gate in front of a normal flip flop the timing report does not see violations if the SE signal comes too late! read_sdf -max_type sdf_max -worst threeff_pearl_2.1_manuallychanged.sdf (change in gcf file: T has no influence, V no or little, P has big influence) 8.0 VERILOG simulation (standalone) command is: /vlsicad/cad_solaris/artist97a/tools/verilog/bin/verilog -y /vlsicad/vlsilibs/ibm_cern/ CMOS6SF/9910a/verilog/vdd_2.5v/ /homedir/akluge/glink/behavioral/encodercimt/ test_encodercimt.v +libext+.v+ +maxdelays +gui +s(for interactive mode) to invoke simulator with graphical user interface. It works for both pre synthesis and post syntesis simulation. No backannotation has been performed with this command. Simulation is also possible within icfb, however the options noted above must be set in setup > simulation > more. With the command: $sdf_annotate("/homedir/akluge/glink/ encodercimt_routed_bus.sdf",ecmit,,,,,"from_mtm"); backannotation was conducted. and SET VAR BUS.CHARACTER.SUBSTITUTION.PAIR "<>" ; however errors occur since the E_DFF_SR_SC flip flops are not correctly backannotated. 9.0 Analog simulation (Should also work with new version Make sure version 97a is running.) Open a new cell view schematic test_threeff for the simulation setup. Instantiate the device under test and instantiate vdc, vdd and gnd for supply voltages froma analog_lib. Instantiate vpulse gnd res, and vdd, and vdc for input signals and biasing. (time example 100n after tab) Connect pins for outputs and inputs. Name signals. Check and save. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

9 Make sure.cdsinit exists in run directory. Copy the CornerN* files. Tools => Analog Artist. Setup => Simulator/Dir/Host. Change ~/simulation for./simulation. Replace cdsspice for HSpice => OK. Setup => Temperature => 25/125/... Setup => Model Path => Click delete existing => OK. Setup => Environment => Include file CornerNP0 (for typical sigma = 0, for worst CornerNP-2 sigma = -2) Analyses: check transient and fill out from x to x by x. Technology file => attach to => CERNLIB1 Session => Save state as NP0. In case in the schematics variables have been used for instance for vdd => Variables => Edit... Start simulation by clicking on the green traffic light. Tools => Calculator => vt, click on signals in schematic. Check display stack, click app so that all signals are in the top field. Click erplot (erase plot), in the wave view click last but one field to separate signals views. Use cursor A and B to measure time Extract the capacitive parasitics Make sure display.wrf exists start icfb in the old version. Open the layout/abstract view. Make sure Instance is selected => Select all components. Floorplan => Replace view => Layout Save. Tools => Layout Verify => Extract Rules Lib cmos6sf25techlib. Set switches: cntr + Cap Extract (not for LVS), 3metals, mztop, either farinter or closeinter (make sure diva.rul file exists) New view: extracted. extraction: flat verify LVS => Run => Info => Logfile. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

10 Open schematic view and make sure extracted view is still open. Start Analog Artist => setup => environment.. as above but add extracted to the switch view list as first entry. Click edit on the device under test in the schematic view of the test setup and see that default is extracted. Run simulation as above SEU_TEST In Setup Simulation: instead of library directory: /vlsicad/vlsilibs/ibm_cern/cmos6sf/9910a/verilog/vdd_2.5 or /vlsicad/micsoft/ibm_ep_kit.23_8/designkits/cmos6sf25deskit_v1.0.1/cmos6sf25/verilog/vdd_2.5v /homedir/toifl/ibm/cernlibseu Other options:+notimingchecks In testfixture include: initial begin include "seu_program.v" end initial begin $shm_probe(test,"ac"); file1=$fopen ("generated_seu_program.v"); #100; $fclose (file1); 12.0 Backannotate with new design kit If clock tree generation has been used in se, then export verilog from se into cadence. Include in simulation option (-a): +neg_tchk Check: continous assignment use libary: /vlsicad/micsoft/ibm_ep_kit.23_8/designkits/cmos6sf25deskit_v1.0.1/ cmos6sf25/verilog/vdd_2.5v Backannotation does not work properly. Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

11 If verilog is used in standalone no errors occur: /vlsicad/micsoft/ic4.43/tools/verilog/bin/verilog /homedir/akluge/glink/gol_tx_rx.run1/ testfixture.new -f verilog.inpfiles -l simout.tmp +tms_incl+ +delay_mode_path +sxl_keep_minimum +caxl -a +libext+.v+ +incdir +hdlfilesdir +sdf_verbose +sdf_nocheck_celltype +neg_tchk +gui -s -y /vlsicad/micsoft/ibm_ep_kit.23_8/design- Kits/cmos6sf25DesKit_v1.0.1/cmos6sf25/verilog/vdd_2.5v -y /homedir/toifl/ibm/serializerandpll -v /homedir/toifl/verilog/syn/hamming.v -v /homedir/akluge/glink/ glink_my_common/vdd.v -v /homedir/akluge/glink/glink_my_common/vss.v 13.0 Using the pixel chip model Kens library is in: /homedir/kwyllie/ibm/kostaslib/verilog/ Standard lib is in: /vlsicad/micsoft/ibm_ep_kit.23_8/designkits/cmos6sf25deskit_v1.0.1/cmos6sf25/verilog/vdd_2.5v Design Flow for a ASIC design in the rad hard CERN-IBM librarymay 21,

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow)

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Objectives: In this tutorial you will learrn to use Synopsys Design Compiler (DC) to perform hardware synthesis

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

The IIT standard cell library Version 2.1

The IIT standard cell library Version 2.1 The IIT standard cell library Version 2.1 Highlights - Support for AMI 0.35um library, including pads - Added Primetime and Pathmill support to IIT ASIC Flow - Support for stacked vias (for Virtuoso and

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell

LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell EECS 317 CAD Computer Design LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell Instructor: Francis G. Wolff wolff@eecs.cwru.edu Case Western Reserve University This presentation uses powerpoint animation:

More information

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Arifur Rahman, Spring 2004, Polytechnic University, NY Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Mapped Netlist Back Annotation using SDF File and mapped netlist

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on Digital IC- Project 1 Oskar Andersson Outline Backend ASIC Design flow (Physical Design) General steps Input files Floorplanning Placement ClockTree- synthesis Rou=ng Typical Backend Design Flow Synthesis

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Another view of the standard cells called the abstract view needs to generated

Another view of the standard cells called the abstract view needs to generated Abstract Generation Place and route tools do not require the full cell layout Another view of the standard cells called the abstract view needs to generated The abstract view provides information like:

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

Tutorial for Verilog Synthesis Lab (Part 2)

Tutorial for Verilog Synthesis Lab (Part 2) Tutorial for Verilog Synthesis Lab (Part 2) Before you synthesize your code, you must absolutely make sure that your verilog code is working properly. You will waste your time if you synthesize a wrong

More information

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping Logic Synthesis Logic Synthesis = Translation+ Optimization+ Mapping Logic Synthesis 2 Gate-Level Optimization Logic Synthesis Flow 3 4 Design Compiler Procedure Logic Synthesis Input/Output 5 6 Design

More information

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) This tutorial walks you through the Cadence to Synopsys Interface (CSI). This interface lets you take a schematic from composer

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

ASIC Design Methodology using Cadence SP&R Flow

ASIC Design Methodology using Cadence SP&R Flow ASIC Design Methodology using Cadence SP&R Flow (Information about PKS-SE and ASIC design flow borrowed from Cadence documents.) 1 ASIC Design Methodology The tasks involved in ASIC design are usually

More information

CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble

CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble 0. Introduction In this homework, you will be introduced to a Place and Route tool called Silicon

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

Logic synthesis and Place and Route Tutorial Page 1

Logic synthesis and Place and Route Tutorial Page 1 Logic synthesis and Place and Route Tutorial Page 1 Standard Cell ASIC Design flow: A designer uses predesigned logic cells such as AND gate, NOR gate, etc. These gates are called Standard Cells. The advantage

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

EEM216A Design of VLSI Circuits and Systems Fall To start physical synthesis, you will need to source and launch IC Compiler.

EEM216A Design of VLSI Circuits and Systems Fall To start physical synthesis, you will need to source and launch IC Compiler. EEM216A Design of VLSI Circuits and Systems Fall 2012 Prof. Dejan Marković IC Compiler Tutorial Launching IC Compiler To start physical synthesis, you will need to source and launch IC Compiler. tcsh source

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0. Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version 6.0 Overview This tutorial will take you through the

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers 2008 Table of Contents Introduction... 3 Steps Taken and Simulation... 3 Pitfalls... 8 Simulated Delay... 9 APPENDIX

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Setting up the IBM 65nm libraries in Cadence 6.1

Setting up the IBM 65nm libraries in Cadence 6.1 Setting up the IBM 65nm libraries in Cadence 6.1 Preeti Mulage v1 (Jan, 2010) 1. Setting up Cadence 6.1 and Spectre MMSIM 7.1 a. You need to incorporate these lines in order to bring up the latest 6.1

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

CREATION OF STANDARD CELL LIBRARIES IN SUB-MICRON PROCESSES

CREATION OF STANDARD CELL LIBRARIES IN SUB-MICRON PROCESSES CREATION OF STANDARD CELL LIBRARIES IN SUB-MICRON PROCESSES Master thesis performed at the Electronic Devices division at Linköpings Tekniska Högskola by Emil Jansson & Torgny Johansson Reg nr: LiTH-ISY-EX-3611-2005

More information

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm HOMEWORK 10 CMPEN 411 Due: 4/28/2016 11:30pm Instruction First, fabrication ready the full 8 bit RISC microprocessor chip: redesign the chip (its components) to fit the entire chip fitted into the 40 pin

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

Getting a Quick Start 2

Getting a Quick Start 2 2 Getting a Quick Start 2 This chapter walks you through the basic synthesis design flow (shown in Figure 2-1). You use the same basic flow for both design exploration and design implementation. The following

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information