Combining TLM & RTL Techniques:

Size: px
Start display at page:

Download "Combining TLM & RTL Techniques:"

Transcription

1 Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014

2 Hardware/Software Systems Software Bare Metal Applications Communications L3 Middleware Operating Systems (OS) Drivers Firmware / HAL Bare Metal Software DSP Software Bare Metal Sofwtare Communications L2 Communications L1 RTOS Drivers Firmware / HAL Modem Comms Application Processor ARM CPU Subsystem Cortex -A15 D D R 3 P H Y Cortex -A15 L2 cache 3. 0 P H Y USB 3.0 Cortex -A P H Y Cortex A-A7 L2 cache Cache Coherent Fabric PCIe Gen 2,3 PHY Application Specific Components 3D GFX High speed, wired interface peripherals DSP A/V SoC Interconnect Fabric Et h er n et P H Y HD MI SAT A MIPI WL AN LTE Other peripherals Apps Accel Modem GP UA IO RT IN Display TC PM I2 U C MI SP PI I Ti Low-speed JT peripheral me AG subsystem r Low speed peripherals FM Receiver GPS Receiver Cellular Modem WiFi Bluetooth RFFE DigRF SDIO DRAM LPDDR LPDDR 2 Motion Sensors LPDDR 3 SLIMbus Power Control SPMI NAND NAND FLASH USB 2.0 emmc 4.5 UFS LLI USB 3.0 OTG Memory Card SD 3.0 SD 4.0 UFS cjtag I2C GBT CSI2 CSI3 Multimedia Processor OCP 2.0 OCP 3.0 Touch Screen Controller SLIMbus Camera Interface Display Driver DSI Audio Interface HDMI 1.4 System on Chip (SOC) System on Printed Circuit Board (PCB) Cadence Design Systems, Inc. All rights reserved.

3 A project schedule, reconstructed Percentage of Project Effort 30% effort Application Software Development Specification Development RTL Development OS Support 10% effort 13% effort Utility Software Development Design Management 54% time 54% time 72% time 21% effort RTL Verification to Netlist 55% time 9% effort Netlist to Tapeout 56% time Post Silicon Validation 11% effort Qualification of IP 45% time Elapsed time as percentage of time from RTL Development to Tapeout RTL Development to Tapeout Cadence Design Systems, Inc. All rights reserved.

4 Market Dynamics Cadence Design Systems, Inc. All rights reserved. 4

5 Where do HW and SW meet, actually? esw Tools Content Apps Middleware Embedded Software Development Tools For end users, large # of developers Often licensed with OS? Run/Real-Time Kernel Drivers System Hardware Assembler, Compiler, Linker, Debugger, EDA Licensed IP; sometimes developed in-house Expected to come with hardware IP, otherwise be developed internally PCB Board Integration: PCB Tool Sets System Design System on Chip Sub-system Software Enablement: Emulation, Acceleration, FPGA based prototyping and Virtual Prototyping Verification: Verification IP, Silicon Virtual Prototype Design: Design IP, Interconnect, Analysis Tools Cadence Design Systems, Inc. All rights reserved.

6 Why is EDA so excited? Softw e Develope s Cadence Design Systems, Inc. All rights reserved. Source:

7 Why is EDA so excited? Source: research2guidance Cadence Design Systems, Inc. All rights reserved.

8 Who is doing what in a Changing World? Networks Operators Operators AT&T AT&T, Verizon Operators Operators Operators HTML Apps Chrome Web Store Chrome Web Store Chrome Web Store Chrome Web Store Device Apps System Middleware Operating System Nokia Ericsson Symbian, Palm OS Nokia, Ericsson, Palm, Samsung Symbian, Palm OS Apple Apps Store Apple iphone Apple Apps Store Google Play Google Motorola Google Android Chrome Book Acer Samsung Google Chrome OS on Linux Google Play Google Nexus 10 Samsung Google Android Google Play Microsoft Nokia Windows Mobile Google Play System Houses Google Android & ChromeOS Drivers Firmware Chip Nokia TI, Qualcomm, Motorola TI, Qualcomm, Freescale Samsung Apple iphone 3GS All Semis Intel Atom N570 Samsung Exynos 5250 All Semis All Semis Sub-System IP ARM big.little, Imagination, MIPS, Tensilica ARC Atom ARM big.little +Mali, Nvidia Kepler, IMG+MIPS, Tensilica, ARC ARM big.little +Mali, Nvidia Kepler, IMG+MIPS, Tensilica, ARC Cadence Design Systems, Inc. All rights reserved.

9 Who Develops What? New Industry Dynamics! Infrastructure, Connectivity, Complexity Value Pressure Networks HTML Apps Device Apps System Middleware Operating System Drivers Firmware Chip Sub-System IP Growing Responsibilities Require Us to Address More Portions of the Value Stack Software, Integration Subsystems Cadence Design Systems, Inc. All rights reserved.

10 Development Engines Cadence Design Systems, Inc. All rights reserved. 10

11 There is no One Size Fits All Verification and Software platforms need to interoperate SDK OS Simulation Virtual Platform Formal Analysis HDL Simulation Acceleration Emulation FPGA Prototype Prototyping Board Highest speed Earliest in the flow Ignore hardware Almost at speed Less accurate (or slower) Before RTL Great to debug (but less detail) Easy replication Nonscalable Exhaustive Early RTL Great for IP No SW execution KHz range Accurate Excellent HW debug Broadly available Mixedabstractions Limited SW execution MHz Range RTL accurate After RTL is available Good to debug with full detail Expensive to replicate 10 s of MHz RTL accurate After stable RTL is available OK to debug More expensive than software to replicate Real time speed Fully accurate Post Silicon Difficult to debug Sometimes hard to replicate Cadence Design Systems, Inc. All rights reserved Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence logo are trademarks of Cadence Design Systems, Inc. in the United States and other countries. All other trademarks are the property of their respective owners and are not affiliated with Cadence.

12 Timing is Critical for HW/SW Development SoC in System Time for critical bugs in System Environment to be removed System on Chip Sub-System IP Idea to spec RTL Becomes stable Only small gate level changes and ECO s Production Post silicon Validation Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved.

13 Timing is Critical for Modeling for Software Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW May hold final tape out if bug too critical Classic Post Silicon Testing SW development on chip SoC in System Time for critical bugs in system environment to be removed System on Chip Sub-System IP Idea to spec RTL becomes stable Only small gate level changes and ECOs Production Post-silicon validation Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved.

14 User Tasks and Requirements Applications (Basic to Angry Birds) Middleware (Graphics, Audio) Software Integration and QA Speed, SW Debug OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug IP Selection and Design Verification HW Debug, Turnaround Time SoC and Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed HW-SW Validation: SoC with Bare-Metal Software and OS SW Debug, HW Debug, Speed, Turnaround Time Gate Level & Timing & Power Signoff System and Silicon Validation Speed Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved.

15 RTL Simulation Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy IP and Sub-system Verification, Gate-Level Engine for Performance Analysis Early Software Development Time of Availability,, Speed, SW Debug IP Selection & Design Verification and VIP HW Debug, Turnaround Time SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Metric-Driven Verification Software Integration and QA Speed, SW Debug HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Gate Level & Timing & Power Signoff Gate- Level Sim Fast Turnaround Time, Hardware Debug Metric-Driven Verification Debug VIP System & Silicon Validation Speed Spec RTL-Design & IP Integration & Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved. 15

16 Virtual Prototyping Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early, SW Debug and Pre RTL SW Development and System Validation HW/SW Debug Software Integration and QA Speed, SW Debug RTL Integration Integrated SW Debug Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping IP Selection & Design Verification and VIP HW Debug, Turnaround Time SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Metric-Driven Verification HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Gate Level & Timing & Power Signoff Gate- Level Sim System & Silicon Validation Speed Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved. 16

17 Acceleration and Emulation Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping IP Selection & Design Verification HW Debug, Turnaround Time SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Acceleration and AVIP Metal-Driven Verification and VIP HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Emulation PXP Gate Gate Level & Timing & Power Signoff Gate- Level Sim Bring-up time, Speedup over RTL, Early SW, OS and Above SW Bring Up; System Validation on Accurate, Maturing, and Non-stable (RTL) HW Software Integration Accuracy, SW and QA ICE, Speedbridges, Speed, SW Debug Virtualization. Multi-User Access, Power Analysis, Debug Efficiency Acceleration, Gate-Level System & Silicon Validation Speed Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved. 17

18 FPGA-Based Prototyping Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP Low-cost and Faster Pre-silicon SW Development, Regressions, Subsystem Validation on Mature and Stable HW with Real-world Interfaces System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping IP Selection & Design Verification HW Debug, Turnaround Time SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Acceleration and AVIP Metric-Driven Verification and VIP HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Emulation Software Integration and QA Speed, SW Debug Faster Bring-up Integration Gate Level & Timing & Power Signoff Gate- Level Sim Speedup over Emulation Better Cost, Access FPGA-Based Prototyping System & Silicon Validation Speed Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved. 18

19 Software Development on the Actual Chip Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS & Drivers (Linux, Android) Bare metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Acceleration and AVIP IP Selection & Design Verification HW Debug, Turnaround Time RTL simulation HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Emulation Software Integration and QA Speed, SW Debug Gate Level & Timing & Power Signoff Gate- Level Sim FPGA Based Prototyping System & Silicon Validation Speed Chip Spec RTL-Design & IP Integration & Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved.

20 HW/SW Development Care Abouts CONCEPT Software PRODUCT TLM Virtual RTL Simulation RTL Emulation FPGA Based Prototyping Chip SW Development Hardware FPGA-based Prototyping HW/SW Validation Early Software Development Software Debug Acceleration Emulation HW/SW Verification System Speed - + RTL Simulation HW Verification Hardware Accuracy Virtual Prototyping SW Development Hardware Debug and Turn-around-time Cadence Design Systems, Inc. All rights reserved. 20

21 Integration of Development Engines Cadence Design Systems, Inc. All rights reserved. 21

22 The Challenge of Bug Re-Production Consistent HW/SW Verification Environment Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS & Drivers (Linux, Android) Bare metal SW SoC in System System on Chip Sub-System IP System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Acceleration and AVIP IP Selection & Design Verification HW Debug, Turnaround Time RTL simulation HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Emulation Software Integration and QA Speed, SW Debug Gate Level & Timing & Power Signoff Gate- Level Sim FPGA Based Prototyping System & Silicon Validation Speed Chip Spec RTL-Design & IP Integration & Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved.

23 Software Based Testing and Benchmarking Test DB Quality Assurance Tests SoC Stress Tests Graphics Tests Validate HW/SW with OS-based Tests Regression Automation SoC Basic Tests Graphics HAL Drivers Test Linux Graphics Framework Libraries HAL Drivers Android Linux Graphics Kernel Mode Resources Memory Power Win RT Bring-Up and Validate OS Stack with SoC Bring-Up OSs (stock) HW/Firmware Bare-Metal Tests Registers, memory, device drivers, sub-system Firmware Bring-up Boot code, Firmware Verify HW/FW Bring-Up FW on SoC SW Development Boot code, device drivers, Kernel, SoC Drivers Develop SW Modules Color Key Production SW Test / Verification SW Cadence Design Systems, Inc. All rights reserved.

24 Broadcom Example 1/3 Source: Verification Summit, September Cadence Design Systems, Inc. All rights reserved.

25 Broadcom Example 2/3 Source: Verification Summit, September Cadence Design Systems, Inc. All rights reserved.

26 Broadcom Example 3/3 Source: Verification Summit, September Cadence Design Systems, Inc. All rights reserved.

27 Hybrids TLM & Accelerated RTL The Best of Both Worlds Applications (Basic to Angry Birds) Middleware (Graphics, Audio) OS and Drivers (Linux, Android) Bare-metal SW SoC in System System on Chip Sub-System IP Early SW Development, OS and Above SW Bring Up and SW- Based System Validation on Accurate Still Maturing, Non-stable (RTL) HW System Modeling & Trade Offs Time of Availability, Speed, Accuracy Early Software Development Time of Availability,, Speed, SW Debug Virtual Prototyping IP Selection & Design Verification HW Debug, Turnaround Time SoC & Sub-System Verification HW Debug, Turnaround Time, SW Debug, Speed Acceleration and AVIP Metric-Driven Verification and VIP HW-SW Validation: SoC with Bare-Metal Software & OS SW Debug, HW Debug, Speed, Turnaround Time Emulation Software Integration and QA Speed, SW Debug Smart Synchronization Gate Level & Timing & Power Signoff Gate- Level Sim Speed, Debug Insight - Get to SW on OS Faster FPGA-Based Prototyping System & Silicon Validation Speed Chip Spec RTL-Design and IP Integration and Verification IP Qualification Netlist to GDSII Fab Post Si Cadence Design Systems, Inc. All rights reserved. 27

28 NVIDIA SW Validation with Palladium/VSP Hybrid OS-based Tests Test Scenarios 2D, 3D L2 L1 Sanity Checks L0 Graphics DX SoC Drivers Kernel Mode Driver Resource Manager Memory Manager KMD RM NVMEM Test & Metrics DB Target OS Power Manager NVPEP Linux Win RT Android Emulation Tests External Stimulus Into AXI bus Arch. MODS Test Infrastructure External Register Access Sim Front Test Gen3 Regression Automation AXI Commands To/from DUT Interrupts from DUT Test Configuration Source: CDNLive 2014 Hybrid

29 Performance Results Boot OSes, run real world applications and benchmarks Linux kernel boot Android Palladium only = 45 mins Hybrid = 2 mins Palladium only = Hours* Hybrid = mins Windows Palladium only = Days* Hybrid = mins Source: CDNLive 2014

30 SW Validation Results Eliminated reliance on other pre-silicon platforms SW problems found prior to Silicon return SW race conditions Memory management bugs Code completeness After silicon return Contributed to smoother bring-up SW Ready to demo product at SOL Less bugs resulted in focused effort to tune for power and perf Source: CDNLive 2014

31 Summary There is a huge opportunity to optimize development at the hardware/software interface Lower layers of software - up to the OS and base apps - need to be part of verification prior to chip tape out The market of users is constantly changing Software developers are increasing distance, and when not then they need more accuracy, driving needs in verification re-use and hybrid engine combinations Cadence Design Systems, Inc. All rights reserved.

32 So what does this mean for EDA? The future is already here it's just not very evenly distributed 32 "The Science in Science Fiction" on Talk of the Nation, NPR (30 November 1999, Timecode 11:55), William Gibson Cadence Design Systems, Inc. All rights reserved.

33

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Power: What s the problem?

Power: What s the problem? Power: What s the problem? Industry trends and solutions in low power design Steve Carlson, Low Power Solutions Systems Verification Group April 2015 Agenda Industry Trends Power: what s the problem The

More information

Using Virtual Platforms To Improve Software Verification and Validation Efficiency

Using Virtual Platforms To Improve Software Verification and Validation Efficiency Using Virtual Platforms To Improve Software Verification and Validation Efficiency Odin Shen Staff FAE Arm Arm Tech Symposia Taiwan 2017 Software complexity and best practices Software Costs Increasing

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

MIPI : Advanced Driver Assistance System

MIPI : Advanced Driver Assistance System MIPI : Advanced Driver Assistance System application and system development Richard Sproul Charles Qi - Gabriele Zarri (Cadence) esame Conference Sophia Antipolis 05 October 2015 ADAS : some history FORD

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Designing a Multi-Processor based system with FPGAs

Designing a Multi-Processor based system with FPGAs Designing a Multi-Processor based system with FPGAs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer / Consultant Cereslaan

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Kontron s ARM-based COM solutions and software services

Kontron s ARM-based COM solutions and software services Kontron s ARM-based COM solutions and software services Peter Müller Product Line Manager COMs Kontron Munich, 4 th July 2012 Kontron s ARM Strategy Why ARM COMs? How? new markets for mobile applications

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

An overview of mobile and embedded platforms

An overview of mobile and embedded platforms ES3 Lecture 2 An overview of mobile and embedded platforms Basic Classes Embedded devices: These are in toasters, cars, mice, etc. Usually very real-time focused (us accuracy) Very small memory, not usually

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India Verifying big.little using the Palladium XP Deepak Venkatesan Murtaza Johar ARM India 1 Agenda PART 1 big.little overview What is big.little? ARM Functional verification methodology System Validation System

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses. April 2008

The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses. April 2008 The Mobile Internet: The Potential of Handhelds to Bring Internet to the Masses April 2008 Agenda Today s Mobile Internet Market Mobile Convergence Products Internet Browsing on a Handheld Separating Fact

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Introduction to gem5. Nizamudheen Ahmed Texas Instruments

Introduction to gem5. Nizamudheen Ahmed Texas Instruments Introduction to gem5 Nizamudheen Ahmed Texas Instruments 1 Introduction A full-system computer architecture simulator Open source tool focused on architectural modeling BSD license Encompasses system-level

More information

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21 2. HW/SW Co-design Young W. Lim 2016-03-11 Thr Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 1 / 21 Outline 1 Software Engineering Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 2 / 21 Based on Software

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

WHY APPLICATIONS ARE STILL DRAINING OUR BATTERIES and how we can help. Aaron Schulman & Sachin Katti

WHY APPLICATIONS ARE STILL DRAINING OUR BATTERIES and how we can help. Aaron Schulman & Sachin Katti WHY APPLICATIONS ARE STILL DRAINING OUR BATTERIES and how we can help. Aaron Schulman & Sachin Katti We cannot rely on improvements in battery technology In past 30 years: only 4x improvement in energy

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Embedded Vision Solutions

Embedded Vision Solutions FLEXIBLE SOLUTIONS FOR EMBEDDED VISION PROCESSING AT THE EDGE Embedded Vision Solutions Embedded vision offers a promising future with many exciting new applications entering the market. These systems

More information

Kinetis SDK Release Notes for the TWR-K24F120M Tower System Module

Kinetis SDK Release Notes for the TWR-K24F120M Tower System Module Freescale Semiconductor Document Number: KSDKK24FN256RN Release Notes 1.0.0, 08/2014 Kinetis SDK Release Notes for the TWR-K24F120M Tower System Module 1 Overview These are the release notes for the TWR-K24F120M

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

IGLOO AND SNOWBALL. Philippe Garnier Ecosystem program

IGLOO AND SNOWBALL. Philippe Garnier Ecosystem program IGLOO AND SNOWBALL Philippe Garnier Ecosystem program DISCLAIMER Copyright ST-Ericsson 2011. All rights reserved. The contents of this document are subject to change without prior notice. ST-Ericsson makes

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

w w w. b a s e t r a i n i n g i n s t i t u t e. c o

w w w. b a s e t r a i n i n g i n s t i t u t e. c o Disclaimer: Some of the images and most of the data in this presentation are collected from various sources in the internet. If you notice any copyright issues or mistakes, please let me know by mailing

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Design Center KM211. from Idea to Implementation!!! IC Design & IP

Design Center KM211. from Idea to Implementation!!! IC Design & IP Design Center KM211 from Idea to Implementation!!! IC Design & IP Company profile Size: Location: Highly professional team of 40+ engineers, with solid mass production experience SEZ Zelenograd (Russian

More information

The Shrink Wrapped Myth:

The Shrink Wrapped Myth: The Shrink Wrapped Myth: Cross Platform Software Asia and South Pacific Design Automation Conference January 18-21 2010 Taipei Taiwan Mike Olivarez Slide 0 Embedded Software Definition Embedded software

More information

Software Quality is Directly Proportional to Simulation Speed

Software Quality is Directly Proportional to Simulation Speed Software Quality is Directly Proportional to Simulation Speed CDNLive! 11 March 2014 Larry Lapides Page 1 Software Quality is Directly Proportional to Test Speed Intuitively obvious (so my presentation

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

System Energy Efficiency Lab seelab.ucsd.edu

System Energy Efficiency Lab seelab.ucsd.edu Motivation Embedded systems operate in, interact with, and react to an analog, real-time world Interfacing with this world is not easy or monolithic Sensors: provide measurements of the outside world Actuators:

More information

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive)

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVDLA NVIDIA DEEP LEARNING ACCELERATOR IP Core for deep learning part of NVIDIA s Xavier

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

F28HS Hardware-Software Interface: Systems Programming

F28HS Hardware-Software Interface: Systems Programming F28HS Hardware-Software Interface: Systems Programming Hans-Wolfgang Loidl School of Mathematical and Computer Sciences, Heriot-Watt University, Edinburgh Semester 2 2017/18 0 No proprietary software has

More information

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver SMARTPHONE HARDWARE: ANATOMY OF A HANDSET Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver Outline of topics What is the hardware architecture of a How does communication

More information

HOW TO INTEGRATE NFC CONTROLLERS IN LINUX

HOW TO INTEGRATE NFC CONTROLLERS IN LINUX HOW TO INTEGRATE NFC CONTROLLERS IN LINUX JORDI JOFRE NFC READERS NFC EVERYWHERE 28/09/2017 WEBINAR SERIES: NFC SOFTWARE INTEGRATION PUBLIC Agenda NFC software integration webinar series Session I, 14th

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

CE 435 Embedded Systems Spring 2018

CE 435 Embedded Systems Spring 2018 CE 435 Embedded Systems Spring 2018 Administrivia Introduction to Embedded Systems Nikos Bellas Electrical and Computer Engineering Department University of Thessaly CE435 - Embedded Systems 1 Administrivia

More information

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development Enabling Safe, Secure, Smarter Cars from Silicon to Software Jeff Hutton Synopsys Automotive Business Development Safe Secure Smarter Systemic Complexity ADAS Autonomous V2X Infotainment Safe Secure Smarter

More information

Beyond Hardware IP An overview of Arm development solutions

Beyond Hardware IP An overview of Arm development solutions Beyond Hardware IP An overview of Arm development solutions 2018 Arm Limited Arm Technical Symposia 2018 Advanced first design cost (US$ million) IC design complexity and cost aren t slowing down 542.2

More information

i.mx 8M EVK Board Hardware User's Guide

i.mx 8M EVK Board Hardware User's Guide NXP Semiconductors Document Number: IMX8MDQLQEVKHUG User's Guide Rev. 0, 01/2018 i.mx 8M EVK Board Hardware User's Guide 1. Introduction This document is the hardware User s Guide for the i.mx 8M Evaluation

More information

Efficient HW/SW Co-Design & Validation of Complex Emerging Systems

Efficient HW/SW Co-Design & Validation of Complex Emerging Systems Efficient HW/SW Co-Design & Validation of Complex Emerging Systems Pre-silicon Prototyping Tools, Flows and Methodologies (TFM) for a first-pass silicon success! Jai Kumar System Validation Architect (Emulation-FPGA

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Linux Storage System Bottleneck Exploration

Linux Storage System Bottleneck Exploration Linux Storage System Bottleneck Exploration Bean Huo / Zoltan Szubbocsev Beanhuo@micron.com / zszubbocsev@micron.com 215 Micron Technology, Inc. All rights reserved. Information, products, and/or specifications

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Dr.-Ing Jens Benndorf (DCT) Gregor Schewior (DCT) A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Tensilica Day 2017 16th

More information

Android Release Notes

Android Release Notes Freescale Semiconductor Document Number:ARN Application Note Rev jb4.2.2_1.0.0-ga, 05/2013 Android Release Notes 1 Release Description i.mx Android jb4.2.2_1.0.0-ga is a GA release for Android 4.2.2 Jelly

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

ADAS System Design Enablement From zero Defects to zero Accidents

ADAS System Design Enablement From zero Defects to zero Accidents ADAS System Design Enablement From zero Defects to zero Accidents Robert Schweiger Director Automotive Solutions, EMEA 10/20/2016 AESIN Conference 2016 Birmingham Agenda Market Dynamics ADAS System Design

More information

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a MemCon 2014 October 15 th, 2014 Achieving End- to- E nd QoS Poonacha K ongetir a (poonacha@netspeedsystems.com) Problem Statement NetSpeed Platform Overview AGE NDA QoS Primer Achieving End- to- end QoS

More information

MYC-C437X CPU Module

MYC-C437X CPU Module MYC-C437X CPU Module - Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors - 512MB DDR3 SDRAM, 4GB emmc Flash, 32KB EEPROM - Gigabit Ethernet PHY - Power Management IC - Two 0.8mm pitch 100-pin Board-to-Board

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

Quick Start Guide. SABRE Platform for Smart Devices Based on the i.mx 6 Series

Quick Start Guide. SABRE Platform for Smart Devices Based on the i.mx 6 Series Quick Start Guide SABRE Platform for Smart Devices Based on the i.mx 6 Series Quick Start Guide About the SABRE Platform for Smart Devices Based on the i.mx 6 Series The Smart Application Blueprint for

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

THE LEADER IN VISUAL COMPUTING

THE LEADER IN VISUAL COMPUTING MOBILE EMBEDDED THE LEADER IN VISUAL COMPUTING 2 TAKING OUR VISION TO REALITY HPC DESIGN and VISUALIZATION AUTO GAMING 3 BEST DEVELOPER EXPERIENCE Tools for Fast Development Debug and Performance Tuning

More information

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart Formal for Everyone Challenges in Achievable Multicore Design and Verification FMCAD 25 Oct 2012 Daryl Stewart 1 ARM is an IP company ARM licenses technology to a network of more than 1000 partner companies

More information