Dependable VLSI Platform Using Robust Fabrics

Size: px
Start display at page:

Download "Dependable VLSI Platform Using Robust Fabrics"

Transcription

1 Dependable VLSI Platform Using Robust Fabrics Hidetoshi Onodera, T. Sato, A. Tsuchiya (Kyoto Univ.) T. Onoye, M. Hashimoto, Y. Mitsuyama (Osaka Univ.) H. Ochi (Kyoto U.), K. Kobayashi (KIT), H. Shimada (NAIST), H. Kanbara (ASTEM)

2 Outline Background: Challenges to be Resolved Project Overview Current Activities Robust Fabric Reconfigurable Architecture, Mapping Reconfigurable Processor, Application Summary/Project Status

3 Background: challenges to be resolved Manufacturability loss Soft errors Variability source: Synopsys Reliability loss source: Sanyo NBTI LER, RDF courtesy: Prof. Asenov Source: Semiconductor International, ΔTd ~ 10%@10Ys

4 Background: challenges to be resolved NRE-cost explosion Source: Semiconductor International, Required level of dependability/reliability widely differs application-by-application Satellite, aerospace, automotive, banking,, Gaming,,,

5 Project Overview Background Loss of dependability due to physical/natural faults (Manufacturability loss, Variability, Soft errors, Reliability loss, etc.) NRE-cost explosion Wide spectrum of dependability Reconfigurable VLSI Platform for Flexible Dependability Collaborative Researches for Layout/Circuit/Architecture/Mapping Layout: Robust structure Circuit: Adaptive performance tuning Architecture: Adaptive redundancy Mapping: Dependability-aware mapping

6 Dependable VLSI Platform using Robust Fabrics Reconfigurable Architecture Parallel Processing Spatial Redundancy Temporal Redundancy Mapping Dependability -awareness Reconf. 自己修復制御 Processor CPU for Sequential Processing Self-Repair by hot swap Dependable VLSI with reconfigurable structure using Robust (variation-tolerant and manufacturability-enhanced) fabric array Robust Fabric Self-Test Self-Adjust Application

7 Cross-Disciplinary Collaborative Research Limiting factor of Dependability Manuf. Loss Variabi lity Soft Error Aging Software Key technologies DFM 製造容易構造 structure Adaptive Redund 適応的 ancy 冗長化自己調整 Self tuning Self 自 Rep 己 air 修復 Reconf. Processor Mapping Reconfigurable Architecture Robust Fabric Device

8 Our Team Robust Fabric DFM &Variation-tolerant Fabrics H. Onodera, T. Sato, A. Tsuchiya Reconfigurable Architecture Flexible Dependability with Hot Swap T. Onoye, M. Hashimoto, Y. Mitsuyama Mapping Dependability-aware mapping H. Ochi Reconfigurable Processor Reliability-aware Processor K. Kobayashi, H. Shimada Application Evaluation/Application H. Kanbara

9 Outline Background: Challenges to be Resolved Project Overview Current Activities Robust Fabric Reconfigurable Architecture, Mapping Reconfigurable Processor, Application Summary/Project Status

10 Robust Fabric Target Manufacturability-enhanced and Variation-tolerant Fabrics (Logic/Memory/Interconnect) BIST and Self-tuning of Fabric Performance Current Activities Variability Characterization Gate-level Delay Variability Analysis (WID Variability Decomposition) Chip-level Variability Analysis DFM & Variation-tolerant Design Manufacturability vs. Overhead trade-off analysis of Regularity-enhanced Layout DFM-aware Library(180nm) for academic use

11 decoder selector controller Variability Characterization RO-array Test Structure for Variability Characterization out enable Ring Osc. 68μm 22 ROs 40μm Section... 15x15 Sections... 15x15 Sections 1.3mm Variability TEG 1.2mm Freq[MHz] WID Variability nm 90 nm 65 nm Ref: IEDM2008, pp , ASICON2009 D2D Variability (Wafer Map)

12 Decomposition of WID Variability (90nm) Freq[MHz] Freq[MHz] stage INV RO Measured data (σ/μ=1.6%) Systematic (σ/μ=0.01%) Freq[MHz] Freq[MHz] Deterministic (σ/μ=0.68%) Random (σ/μ=1.36%)

13 Variability Breakdown for 7-stage INV ROs Component Standard Deviation σ/μ [%] 180nm 90nm 65nm D2D 4.6* * WID Deterministic Systematic Random Single Gate * Obtained from 20 chips. Locations on the wafer are not known.

14 DFM by Regularity-Enhancement: 45nm D-FF Lithography Simulation 2.5 Compact (Area:1) Standard Deviation [nm] Compact 2D_regular 1D_regular Hot Spot Hot Spot 2D_regular (Area:1.08) 1D_regular (Area: 1.08) Defocus [nm] Standard deviation of gate-length variation under defocus Compact is vulnerable to defucus Regularity enhances robustness

15 Built-in Self Measurement and Performance Tuning Performance Monitor by Process-Parameter Sensitive RO Performance Tuning (-20% %) by Substrate Biasing. 65nm example Vth (normalized): PCM vs. Estimated PCM Estimated nmos -1 to to pmos -1 to to 0.32 average frequency [MHz] measured (chip02 INV59) simulation (hspice) backgate bias[v]

16 Our Team Robust Fabric DFM &Variation-tolerant Fabrics H. Onodera, T. Sato, A. Tsuchiya Reconfigurable Architecture Flexible Dependability with Hot Swap T. Onoye, M. Hashimoto, Y. Mitsuyama Mapping Dependability-aware mapping H. Ochi Reconfigurable Processor Reliability-aware Processor K. Kobayashi, H. Shimada Application Evaluation/Application H. Kanbara

17 Reconfigurable Architecture Target Reconfigurable Architecture that enables Spatial/Temporal Redundancy and Hot Swap Run-time Recovery by Self-Repair Current Activities Coarse-Grained Cluster-cell Architecture Reliability analysis of 4 operation modes Chip design of ALU-cluster Architecture design of Register and Multiplier clusters Trade-off analysis: Reliability vs. Power/Performance Conventional architecture ALU fabric LUT fabric Dependable architecture TMR hot swap spare fabric memory fabric duplication

18 Objective Develop a coarse-grained dynamically reconfigurable architecture with flexible reliability JPEG MPEG H.264 Mapping CTRL I/O Datapath High reliability configuration Intermediate reliability configuration Low reliability configuration Reliability level is individually selectable for each basic element. Selective redundant configuration is possible for reducing area overhead while satisfying reliability requirement.

19 Classification of Required Reliability Sensitivity to Soft errors Errors in : permanent. Errors in EM : temporary. Consideration of four cases 1. Functionality must be correct, and computed data must be correct as well. Configuration information memory Data in Execution module Data out 2. Functionality must be correct, and errors in computed data can be detected, however some of them can be corrected. 3. Functionality must be correct, and errors in computed data are not considered. 4. No consideration for error recovery and detection is necessary. 4 Operation modes EM Basic element structure 1 TMR (Triple modular redundancy) 2 DMR (Double modular redundancy) 3 SMS (Single modular with single context) 4 SMM (Single modular with multi-context)

20 Proposed Architecture Cluster Placed in 2-D array Connects with next clusters via tracks Contains 4 cells; reconfigurable unit (RCU), redundancy control unit (RDU), and comparing & voting unit (CVU). Contains 3 configuration memories (), voters (), an execution module (EM) and a selector (a part of SM) Execution/Register Module Different for each cluster RDU Cluster array Inputs from four cell - SM Cluster EM/RM EM/RM EM/RM EM/RM CVU Outputs to four cell RCU I/O I/O I/O I/O I/O I/O I/O I/O Track0 Track1 Track2 Track3 Cluster interconnect I/O I/O Track3 Track2 Track1 Track0 EM/RM architecture depends on cluster type (3 types) ALU cluster Multiplier cluster Register cluster Ref: SELSE2009

21 Four Operation Modes [Context0] [Context1] [Context2] [Context0] High reliability High Area-efficiency CS [Context0] [Context0] CS CS [Context1] [Context0] [Context1] [Context0] [Context1] (a) [Context2] TMR [Context2] (c) SMS Operatio n mode TMR DMR SMS SMM VD VD VD Output data Input data Parity check Input data EM/RM EM/RM EM/RM EM/RM Output data [Context0] [Context1] [Context2] Redundancy Reliability Utilization EM/RM SEU in [Context0] CS CS [Context1] CS CS CS CS (c) SMS (d) SMM (a) TMR (b) DMR Parity check SEU in EM/RM Input data EM/RM SET in EM/RM Input data : detect and recover : detect : cannot detect #contex t CS EM Input data EM/RM EM/RM EM (b) DMR Parity EM/RM Output check data C&S Output data Output data CS CS VD VD VD(d) SMM Parity check Output data Parity check Input data EM/RM #cells Input data EM EM C&S Output data

22 Mapping Architecture Design Support by Mapping and Simulation Fault model Feedback to Architecture Design Architecture Architecture Architecture Simulation Application Mapping Area Delay Power Dependability Soft error Aging Fault Dependability-aware Mapping

23 Mapping Viterbi Decoder Viterbi decoder uses the Viterbi Algorithm for decoding a bit stream that has been encoded using forward error correction. Calculate branch metric based on the humming distance Calculate path metric add and compare branch metrics Path memory memorize the paths with higher metric

24 Fault-Tolerant Evaluation Apply fault-tolerant evaluation Cycle-based simulation for counting the number of sensitive bits in memory for every cell. Sensitive bits are bits that have impact on the primary output when its value crashes. cells with more sensitivity bits require more reliability consideration. SEU configuration memory configure Error sensitive bit Input cell output Correct non-sensitive bit

25 Results of Mapping 790/12096 sensitivity bits 0 sensitivity bits The area overhead is about 3.9 times With mixed-mode mapping a considerable trade-off between reliability and area overhead can be achieved

26 Our Team Robust Fabric DFM &Variation-tolerant Fabrics H. Onodera, T. Sato, A. Tsuchiya Reconfigurable Architecture Flexible Dependability with Hot Swap T. Onoye, M. Hashimoto, Y. Mitsuyama Mapping Dependability-aware mapping H. Ochi Reconfigurable Processor Reliability-aware Processor K. Kobayashi, H. Shimada Application Evaluation/Application H. Kanbara

27 Reconfigurable Processor Target Reconfigurable Processor with Adaptable Redundancy on Dependable VLSI Platform Current Activities Multi-core pipe-lined processor with dynamic redundancy control Normal Operation: Single or DMR Permanent Fault: Fault-location Identified by TMR TMR Power DMR?? Off? DMR Single Power Off Power Off Power Off Single Power Off Power Off Power Off Single Normal Operation DMR for reliable operation, or single core execution for normal operation Power-off for sleep cores Fault Identified by TMR Fault-location identified by TMR Disabling Fault Core Separation of Identified Fault Core Single core hopping for aging

28 Application Target Specification and Evaluation of Reliability and Security Requirement Application of Dependable VLSI Platform Current Activities Embedded System IP development (Processor, Encryption) FPGA Board SRAM Spartan 3 Mem IF AES Encryption Block RAM Start-up Interrupt gdb stub SEL Arbiter 8bit SW KeyBoard(PS2) Mips Processor (R3000 equiv) Interrupt HW RS232C VGA 7Segment LED x 4

29 Outline Background: Challenges to be Resolved Project Overview Current Activities Robust Fabric Reconfigurable Architecture, Mapping Reconfigurable Processor, Application Summary/Project Status

30 Dependable VLSI Platform using Robust Fabrics Parallel Processing Spatial TMR Temporal TMR Mapping Self-Repair Control CPU for Sequential Processing Self-Repair by hot swap Self-Adjust Dependable VLSI with reconfigurable structure using Self-Test Robust (variation-tolerant and manufacturability-enhanced) fabric array Extreme scaling imposes enormous challenges on LSI design such as manufacturability degradation, variability increase, performance aging, and soft-error vulnerability. In order to overcome these difficulties, we investigate a reconfigurable VLSI platform that can realize dependable circuits with required reliability. The platform consists of variation-tolerant robust fabrics with self-repairing capability of deteriorated circuits. We will demonstrate that the platform can be applicable to various embedded systems ranging from satellite applications to consumer products with a wide variety of dependability.

31 Dependable VLSI Platform using Robust Fabrics Robust Fabric Reconfigurable Architecture Reconfigurable Processor Mapping Application Current Status Tradeoff analysis: regularity vs variability Variability Characterization Basic design of reconf. architecture for flexible dependability Architecture design of processor for flexible dependability Dependability-aware mapping Reliability simulation environment Embedded system IP User hearing Next Issue Robust Fabric Design Guideline Static/ Dynamic Dependability Requirement Definition Target Static/Dynamic Dependability Assurance/Allocation Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University Soft-error and Variability Resilience in Dependable VLSI Platform Hidetoshi Onodera Kyoto University Outline: Soft-error and Variability Resilience 1 Background Overview: Dependable VLSI Platform Circuit-level

More information

COARSE-GRAINED DYNAMICALLY RECONFIGURABLE ARCHITECTURE WITH FLEXIBLE RELIABILITY

COARSE-GRAINED DYNAMICALLY RECONFIGURABLE ARCHITECTURE WITH FLEXIBLE RELIABILITY COARSE-GRAINED DYNAMICALLY RECONFIGURABLE ARCITECTURE WIT FLEXIBLE RELIABILITY Dawood ALNAJJAR, Younghun KO, Takashi IMAGAWA, iroaki KONOURA, Masayuki IROMOTO, Yukio MITSUYAMA, Masanori ASIMOTO, iroyuki

More information

WITH aggressive process scaling, sustaining reliability

WITH aggressive process scaling, sustaining reliability IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 12, DECEMBER 2013 2165 Implementing Flexible Reliability in a Coarse-Grained Reconfigurable Architecture Dawood Alnajjar,

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

Thermal-aware Fault-Tolerant System Design with Coarse-Grained Reconfigurable Array Architecture

Thermal-aware Fault-Tolerant System Design with Coarse-Grained Reconfigurable Array Architecture 2010 NASA/ESA Conference on Adaptive Hardware and Systems Thermal-aware Fault-Tolerant System Design with Coarse-Grained Reconfigurable Array Architecture Ganghee Lee and Kiyoung Choi Department of Electrical

More information

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies CREST-DVLSI - Fundamental Technologies for Dependable VLSI Systems - Masahiro Fujita Shuichi Sakai Masahiro

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

IMPLICATIONS OF RELIABILITY ENHANCEMENT ACHIEVED BY FAULT AVOIDANCE ON DYNAMICALLY RECONFIGURABLE ARCHITECTURES

IMPLICATIONS OF RELIABILITY ENHANCEMENT ACHIEVED BY FAULT AVOIDANCE ON DYNAMICALLY RECONFIGURABLE ARCHITECTURES 20 21st International Conference on Field Programmable Logic and Applications IMPLICATIONS OF RELIABILITY ENHANCEMENT ACHIEVED BY FAULT AVOIDANCE ON DYNAMICALLY RECONFIGURABLE ARCHITECTURES Hiroaki KONOURA,

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Reconfigurable Computing. Introduction

Reconfigurable Computing. Introduction Reconfigurable Computing Tony Givargis and Nikil Dutt Introduction! Reconfigurable computing, a new paradigm for system design Post fabrication software personalization for hardware computation Traditionally

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 18 Chapter 7 Case Studies Part.18.1 Introduction Illustrate practical use of methods described previously Highlight fault-tolerance

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

SPECIAL ISSUE ENERGY, ENVIRONMENT, AND ENGINEERING SECTION: RECENT ADVANCES IN BIG DATA ANALYSIS (ABDA) ISSN:

SPECIAL ISSUE ENERGY, ENVIRONMENT, AND ENGINEERING SECTION: RECENT ADVANCES IN BIG DATA ANALYSIS (ABDA) ISSN: ISSN: 976-314 ARTICLE CONCURRENT ERROR DETECTION WITH SELF-CHECKING MAJORITY VOTING CIRCUITS V. Elamaran 1*, VR. Priya 2, M. Chandrasekar 1, Har Narayan Upadhyay 1 ABSTRACT 1 Department of ECE, School

More information

FeRAM Circuit Technology for System on a Chip

FeRAM Circuit Technology for System on a Chip FeRAM Circuit Technology for System on a Chip K. Asari 1,2,4, Y. Mitsuyama 2, T. Onoye 2, I. Shirakawa 2, H. Hirano 1, T. Honda 1, T. Otsuki 1, T. Baba 3, T. Meng 4 1 Matsushita Electronics Corp., Osaka,

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Core Research for Evolutional Science & Technology (CREST) "Fundamental Technologies for Dependable VLSI Systems (DVLSI)"

Core Research for Evolutional Science & Technology (CREST) Fundamental Technologies for Dependable VLSI Systems (DVLSI) 0 Core Research for Evolutional Science & Technology (CREST) "Fundamental Technologies for Dependable VLSI Systems (DVLSI)" Circuit and System Mechanisms for High Field Reliability - DART Technology -

More information

Ultra Low-Cost Defect Protection for Microprocessor Pipelines

Ultra Low-Cost Defect Protection for Microprocessor Pipelines Ultra Low-Cost Defect Protection for Microprocessor Pipelines Smitha Shyam Kypros Constantinides Sujay Phadke Valeria Bertacco Todd Austin Advanced Computer Architecture Lab University of Michigan Key

More information

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey T.Srinivas Reddy 1, J.Santosh 2, J.Prabhakar 3 Assistant Professor, Department of ECE, MREC, Hyderabad,

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Error Resilience in Digital Integrated Circuits

Error Resilience in Digital Integrated Circuits Error Resilience in Digital Integrated Circuits Heinrich T. Vierhaus BTU Cottbus-Senftenberg Outline 1. Introduction 2. Faults and errors in nano-electronic circuits 3. Classical fault tolerant computing

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The requirements for The Degree

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

An FPGA Based Adaptive Viterbi Decoder

An FPGA Based Adaptive Viterbi Decoder An FPGA Based Adaptive Viterbi Decoder Sriram Swaminathan Russell Tessier Department of ECE University of Massachusetts Amherst Overview Introduction Objectives Background Adaptive Viterbi Algorithm Architecture

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

FAULT TOLERANCE. Fault Tolerant Systems. Faults Faults (cont d)

FAULT TOLERANCE. Fault Tolerant Systems. Faults Faults (cont d) Distributed Systems Fö 9/10-1 Distributed Systems Fö 9/10-2 FAULT TOLERANCE 1. Fault Tolerant Systems 2. Faults and Fault Models. Redundancy 4. Time Redundancy and Backward Recovery. Hardware Redundancy

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

Robust System Design with MPSoCs Unique Opportunities

Robust System Design with MPSoCs Unique Opportunities Robust System Design with MPSoCs Unique Opportunities Subhasish Mitra Robust Systems Group Departments of Electrical Eng. & Computer Sc. Stanford University Email: subh@stanford.edu Acknowledgment: Stanford

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 June 25th, 2007 Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309 Christopher Temple Automotive Systems Technology Manager Overview Functional Safety Basics Functional

More information

Architecture. Philip Leong Computer Engineering Laboratory School of Electrical and Information Engineering The University of Sydney

Architecture. Philip Leong Computer Engineering Laboratory School of Electrical and Information Engineering The University of Sydney Architecture Philip Leong Computer Engineering Laboratory School of Electrical and Information Engineering The University of Sydney This course 1. Introduction to Reconfigurable Computing - what is reconfigurable

More information

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates International Journal of Reconfigurable Computing Volume 2, Article ID 452589, 7 pages doi:.55/2/452589 Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under

More information

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece Email: {ksiop, dsoudris}@microlab.ntua.gr

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder ESE532: System-on-a-Chip Architecture Day 8: September 26, 2018 Spatial Computations Today Graph Cycles (from Day 7) Accelerator Pipelines FPGAs Zynq Computational Capacity 1 2 Message Custom accelerators

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration V.Elamaran, G.Rajkumar, N.Raju, K.Narasimhan, Har Narayan Upadhyay School of EEE, Department of ECE, SASTRA

More information

Coarse Grained Reconfigurable Architecture

Coarse Grained Reconfigurable Architecture Coarse Grained Reconfigurable Architecture Akeem Edwards July 29 2012 Abstract: This paper examines the challenges of mapping applications on to a Coarsegrained reconfigurable architecture (CGRA). Through

More information

SOFTWARE ARCHITECTURE For MOLECTRONICS

SOFTWARE ARCHITECTURE For MOLECTRONICS SOFTWARE ARCHITECTURE For MOLECTRONICS John H. Reif Computer Science Dept Duke Univ. In Collaboration with: Allara, Hill, Reed, Seminario, Tour, Weiss DARPA Moletronics Program BLACK BOX ARCHITECTURE:

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Stochastic Processors (or processors that do not always compute correctly by design)

Stochastic Processors (or processors that do not always compute correctly by design) Stochastic Processors (or processors that do not always compute correctly by design) Rakesh Kumar Department of Electrical and Computer Engineering University of Illinois, Urbana-Champaign Insisting on

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

asoc: : A Scalable On-Chip Communication Architecture

asoc: : A Scalable On-Chip Communication Architecture asoc: : A Scalable On-Chip Communication Architecture Russell Tessier, Jian Liang,, Andrew Laffely,, and Wayne Burleson University of Massachusetts, Amherst Reconfigurable Computing Group Supported by

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

Ascenium: A Continuously Reconfigurable Architecture. Robert Mykland Founder/CTO August, 2005

Ascenium: A Continuously Reconfigurable Architecture. Robert Mykland Founder/CTO August, 2005 Ascenium: A Continuously Reconfigurable Architecture Robert Mykland Founder/CTO robert@ascenium.com August, 2005 Ascenium: A Continuously Reconfigurable Processor Continuously reconfigurable approach provides:

More information

Towards smart sensing based on field-programmable technology

Towards smart sensing based on field-programmable technology Towards smart sensing based on field-programmable technology Wayne Luk Imperial College 28 January 2017 Outline 1. Smart sensing + field-programmable technology 2. Example: remote sensing 3. Acceleration:

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Indian Silicon Technologies 2013

Indian Silicon Technologies 2013 SI.No Topics IEEE YEAR 1. An RFID Based Solution for Real-Time Patient Surveillance and data Processing Bio- Metric System using FPGA 2. Real-time Binary Shape Matching System Based on FPGA 3. An Optimized

More information

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM Go Matsukawa 1, Yohei Nakata 1, Yuta Kimi 1, Yasuo Sugure 2, Masafumi Shimozawa 3, Shigeru Oho 4,

More information

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths Mario Schölzel Department of Computer Science Brandenburg University of Technology Cottbus, Germany

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Fault Tolerance. The Three universe model

Fault Tolerance. The Three universe model Fault Tolerance High performance systems must be fault-tolerant: they must be able to continue operating despite the failure of a limited subset of their hardware or software. They must also allow graceful

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology 1 ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology Mikkel B. Stensgaard and Jens Sparsø Technical University of Denmark Technical University of Denmark Outline 2 Motivation ReNoC Basic

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

Hardware/Software Codesign

Hardware/Software Codesign Hardware/Software Codesign SS 2016 Prof. Dr. Christian Plessl High-Performance IT Systems group University of Paderborn Version 2.2.0 2016-04-08 how to design a "digital TV set top box" Motivating Example

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 3 - Resilient Structures Chapter 2 HW Fault Tolerance Part.3.1 M-of-N Systems An M-of-N system consists of N identical

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero MultiChipSat: an Innovative Spacecraft Bus Architecture Alvar Saenz-Otero 29-11-6 Motivation Objectives Architecture Overview Other architectures Hardware architecture Software architecture Challenges

More information

M.TECH VLSI IEEE TITLES

M.TECH VLSI IEEE TITLES 2016 2017 M.TECH VLSI IEEE TITLES S.NO TITLES DOMAIN 1 A Fixed-Point Squaring Algorithm Using an Implicit Arbitrary Radix Number System 2 An Improved Design of a Reversible Fault Tolerant LUT-Based FPGA

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings ESE532: System-on-a-Chip Architecture Day 24: April 17, 2017 Defect Tolerance Today Reliability Challenges Defect Tolerance Memories Interconnect FPGA FPGA Variation and Energy 1 2 Message At small feature

More information

Figure 1. An 8-bit Superset Adder.

Figure 1. An 8-bit Superset Adder. Improving the Adder: A Fault-tolerant, Reconfigurable Parallel Prefix Adder Kyle E. Powers Dar-Eaum A. Nam Eric A. Llana ECE 4332 Fall 2012 University of Virginia @virginia.edu ABSTRACT

More information

Synthesis and Optimization of Digital Circuits

Synthesis and Optimization of Digital Circuits Synthesis and Optimization of Digital Circuits Dr. Travis Doom Wright State University Computer Science and Engineering Outline Introduction Microelectronics Micro economics What is design? Techniques

More information

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Babu. M, Saranya. S, Preethy. V, Gurumoorthy. J Abstract: The size of integrated Circuits has developed rapidly and

More information

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures ECE 747 Digital Signal Processing Architecture DSP Implementation Architectures Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 406 Spring 2006 Slide 1 My Goal Challenge

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information