Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing for IoT Applications

Size: px
Start display at page:

Download "Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing for IoT Applications"

Transcription

1 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop 10:45-11:05, Oct. 19, Auditorium on the 3rd floor of Sutardja Dai Hall at the UC Berkeley campus, San Francisco, CA, USA Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing for IoT Applications Takahiro Hanyu 1,2,3 [Contributors] D. Suzuki 5, M. Natsui 1,2,3, N. Onizawa 5, S. Ikeda 1,3,4, T. Endoh 1,3,6, and H. Ohno 1,3,4 1 Center for Spintronics Integrated Systems, Tohoku University, JAPAN 2 Laboratory for Brainware Systems, RIEC, Tohoku University, JAPAN 3 Center for Innovative Integrated Electronic Systems (CIES), Tohoku University, JAPAN 4 Laboratory for Nanoelectronics and Spintronics, RIEC, Tohoku University, JAPAN 5 Frontier Research Institute for Interdisciplinary Sciences, Tohoku University, JAPAN 6 Dept. of Electrical Engineering, Tohoku University, JAPAN Acknowledgement: A part of this work was supported by ImPACT of CSTI, CIES s Industrial Affiliation On the STT MRAM program, and ACCEL under JST.

2 Outline Background & Motivation ~Nonvolatile Logic-in-Memory Architecture~ Design of Nonvolatile FPGA VLSI CAD Environment Conclusions & Future Prospects 1

3 Background: IoT/IoE Era Processor Sensor Interface Radio Memory Power-Constrained SoC Sensors, actuators, Button cells, etc... Processor Radio Security Sensor Interface Memory Display IoT: Internet of Things IoE: Internet of Everything Power management Video Middle/high-End IoT SoC Automotive, cloud, smartphone, etc... Require a variety of VLSI Processors under Power-Dissipation Limit 2

4 Problem: Energy Gap [Ref.] Y. Liu, et al., ISSCC, pp , Feb Standby power consumption is a critical issue for IoT applications. 3

5 Recent Trends in DNN Hardware K. Bong, et al., ISSCC Dig. Tech. Pap. pp , Performance (TOPS) 10 1 Targe t area SoC TOPS mW FPGA ~1.2 TOPS ~40 W GPU ~5.75 TOPS ~250 W Power (W) FPGAS is a key device to realize energy-efficient hardware. 4

6 Power-Supply Stability Problem 1) Power supply is frequently shut down in IoT applications. 2) Processing overhead is serious in conventional volatile processor, because data must be backup/recall to/from external NVM. Percentage of Completion Done 100% Volatile Start Power supply 0% B R B R B R B R ON OFF ON OFF ON OFF ON OFF ON B:Backup R:Recall Time 5

7 Power-Supply Stability Problem 1) Power supply is frequently shut down in IoT applications. 2) Processing overhead is serious in conventional volatile processor, because data must be backup/recall to/from external NVM. 3) Immediate backup/recall are possible in a nonvolatile processor. Percentage of Completion Done 100% Nonvolatile Volatile Start 0% Power supply B R B R B R B R ON OFF ON OFF ON OFF ON OFF ON B:Backup R:Recall Time 6

8 Nonvolatile Memories Flash FRAM Spin device Access speed Middle High High Non-destructive read Write endurance Good Weak Good Bad Weak Good Scalability Good Weak Good Operation voltage Bad Weak Good READ WRITE READ WRITE 2-Terminal 3-Terminal Low resistance 0 High resistance 1 7

9 What is a Nonvolatile VLSI? Conventional architecture Wire Logic Memory Transfer bottleneck Logic-in-memory architecture Non-volatile spin element MTJ layer Logic Memory CMOS layer Leakage current -Logic and memory modules are separated -Many interconnections between modules -Wire delay dominates chip performance -Global wires requires large drivers -On-chip memory is volatile -Power supply must be continuously applied Silicon Logic Magnetic Tunnel Junction (MTJ) device -Non-volatility -Unlimited endurance -Fast writability -Scalability -CMOS compatibility -3-D stack capability -Storage is nonvolatile -MTJ devices are put on the CMOS layer -Storage/logic are merged Delay: Long, Static power: High Delay: Short, Static power: Low 8

10 Nonvolatile VLSI Processor Architecture Flash DRAM GP-Logic: General-purpose logic SP-Logic: Special-purpose logic 1 st gen. NV Processor Spin RAM NV SRAM NV FF GP-Logic Si NV FF SP-Logic 2 nd gen. NV Processor Spin RAM NV SRAM NVLIM GP-Logic Si NVLIM SP-Logic Nonvolatile Field-Programmable Gate Array (FPGA) Nonvolatile Microcontroller LSI General-purpose logic Nonvolatile Ternary Content- Addressable Memory (TCAM) Special-purpose logic 9

11 Outline Background & Motivation ~Nonvolatile Logic-in-Memory Architecture~ Design of Nonvolatile FPGA VLSI CAD Environment Conclusions & Future Prospects 10

12 FPGA (Field-Programmable Gate Array) A B Ex: Half adder S C Circuit configuration True table A B S C Wiring TILE1 is connected to TILE2. Store into MTJs I/O PADs A FPGA I/O PADs Tile1 TILE2 I/O PADs I/O PADs S C Circuit functions are programmable by users. Circuit configuration including wiring is stored into MTJs. Therefore, there is no re-storing even when power supply is OFF and ON again. Suited to power-supply control The use of MTJs together with power gating makes standby power reduced B 11

13 Structure of TILE Circuit info. (Truth tbl.) I/Os from Neighbor CLB n X Wire truck Tile Logic Element (LE) Circuit info. (Truth tbl.) CLB LE CB RS Combination circuit Lookup table (LUT) I/Os from neighbor CLB SB RS FF CB RS I/Os from neighbor Tiles Seq. circuit MUX I/Os from Neighbor Titles Z Circuit info. (Wire info.) Configurable logic block (CLB) Logic function of Tile Connection Block (CB) Connect to neighbor CLB Switch Block (SB) Connect to neighbor Titles Routing switch (RS) Circuit info. (Wire info.) Latch Design arbitrary comb./ seq. circuits. FF is changed to nonvolatile one. NMOS pass gate with NV-latch determines wire-truck information. 12

14 Logic-in-Memory(LIM) Architecture LIM-based nonvolatile lookup table (NV-LUT) circuit Input Output Selector (read) Nonvolatile SRAM cell array (Large area cost) Selector (write) Circuit information Sense amp. & controller (8 Transistors) 2 MTJ devices Nonvolatile SRAM cell Shared Imput Shared Output Sense amp. Selector (read) MRAM cell array (Small area cost) Selector (write) &controller Circuit information 1 access transistor 1 MTJ device MRAM cell Nonvolatile SRAM-based (conventional) LIM-based (proposed) 13

15 Comparison of Multi-Input LUT circuit # of transistors NV-SRAM (Y. Shuto et al., Intermag 2011) NV-SRAM (W. Zhao et al., PSS-A, 2008.) SRAM (P. Chow et al., IEEE Trans. VLSI, 1999) NV-LIM (proposed) 31% off VLSI2009 SSDM % off 62% off 56 th MMM JAP2012 SSDM2011 JJAP2012 # of inputs 14

16 Logic-in-Memory(LIM) Architecture LIM-based nonvolatile lookup table (NV-LUT) circuit Input Output Selector (read) Nonvolatile SRAM cell array (Large area cost) Selector (write) Circuit information Sense amp. & controller (8 Transistors) 2 MTJ devices Nonvolatile SRAM cell Shared Imput Shared Output Sense amp. Selector (read) MRAM cell array (Small area cost) Selector (write) &controller Circuit information 1 access transistor 1 MTJ device MRAM cell Nonvolatile SRAM-based (conventional) LIM-based (proposed) 15

17 NV LUT Circuit SE: Shift enable WE: Write enable RE: Read enable Access transistor Sense amp. (SA) Out Controller SE WE M[0] M[1] M[2 K -1] Shift input Circuit info. Address X Logic input Y 6 6 MUX MUX Write driver (WD) 6 SEL[0] SEL[1] SEL[63] Selector (Read and write) RE Hardware cost for the selector is reduced. 16

18 Comparison of Tr. Counts Transistor counts of 6-input LUT circuit Selector Memory Controller % SRAM NV-SRAM Proposed LIM-based circuitry Transistor counts reduction in memory part Selector is shared in both read and write operations 64% of transistor counts reduction compared to SRAM-based LUT circuit 17

19 Comparison of Power Dissipation Power consumption of 6-input LUT circuit 8-bit shift 1200 Active Standby Write Power [nw] % Cycle (200 us) 90nm CMOS technology Write current:100ua@2ns Time NV-SRAM (1) Store data into MTJ devices every time after 1-bit shift operation NV-SRAM (2) Store data into MTJ devices only before power off 99% of power reduction compared to SRAM-based LUT circuit 18

20 Outline Nonvolatile Logic-in-Memory Architecture Overview Design of an NV FPGA Other Possibility Conclusions & Future Prospects 19

21 VLSI CAD for MTJ/MOS-Hybrid Circuit Design Logic Synthesis Circuit Simulation Layout Logic-Synthesis Ex. Circuit Editor 1h-spice 上でモデルファイルを使用する際は予めコメントアウトをしておく (.libでも同様).h-spiceでシミュレーションを行うときにコメントアウトを外す Layout result Logicsynthesis command executed Standard logic cells Nonvolatile flip-flop cells 20

22 Fabricated Nonvolatile Components STT-SRAM (2013 VLSI Symp.) TCAM (2013 VLSI Symp.) McGill Univ. (2013) NV-MPU (2013 ASSCC) ID WB EX Out Random Logic (2013 ISSCC) IF MEM NV-MCU (2014 ISSCC) RF CPU Inst. Data mem. mem. メモリ MRAM PS ctrl. BUS Port SP H/W ACC NV-FPGA (2015 VLSIC) Clock ctrl. Timer Timer S-link S-link ADC GP H/W ACC 21

23 Outline Background & Motivation ~Nonvolatile Logic-in-Memory Architecture~ Design of Nonvolatile FPGA VLSI CAD Environment Conclusions & Future Prospects 22

24 Conclusions & Future Prospects Propose a MOS/MTJ-hybrid circuit (nonvolatile logic-in-memory circuit using MTJ devices) style for IoE applications Key technologies; novel NV LUT Design & VLSI CAD environment to realize practical LSI. It could open ultra-low-power IoT application paradigm. Security Infrastructure Energy Buildings Agriculture Medical 23

25 Towards Brain-Inspired LSI Performance in real-world processing 1990 for real world Example: Brain-inspired computer Brain-like computing + conventional tech. Basic element using brain-like computing (IEEE Signal Proc. Let., 2015) Computing wall Conventional computer for computer world True North (IBM, USA), Aug Year Brainware Software/hardwaremixed structure IBM, USA(Aug. 7, 2014) True North hardware Big gain for brain-like comp. Not reached to goal of real brain-like computing <Aim of PJ> Explore mechanism & architecture for brainware. (Brainware LSI PJ:start in 2014) Tohoku U. has a world-class MTJ fabrication process technology. Open up a real brain-like computing paradigm! 24

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory: White Paper EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory (SEL): Extremely low-power AI chips can be built

More information

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM Design and Implementation of Low Power LUT Based on Nonvolatile RRAM K.Nagaraju Department of ECE, VLSI & ES, Prakasam Engineering College, Kandukuru, Prakasam Dt, A.P. Dr.Ch.Ravi Kumar HOD, Department

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS Parth Dhall, Ruchi Varshney Department of E&C Engineering, Moradabad Institute of Technology, Moradabad, Uttar Pradesh, India ABSTRACT In this paper,

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM Lucian Shifren ARM R&D San Jose CA 1 What is the Internet of Things? Buzzword Trend Convenient Categorization Industrial Consumer

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

FeRAM Circuit Technology for System on a Chip

FeRAM Circuit Technology for System on a Chip FeRAM Circuit Technology for System on a Chip K. Asari 1,2,4, Y. Mitsuyama 2, T. Onoye 2, I. Shirakawa 2, H. Hirano 1, T. Honda 1, T. Otsuki 1, T. Baba 3, T. Meng 4 1 Matsushita Electronics Corp., Osaka,

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System

MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System MNSIM: A Simulation Platform for Memristor-based Neuromorphic Computing System Lixue Xia 1, Boxun Li 1, Tianqi Tang 1, Peng Gu 12, Xiling Yin 1, Wenqin Huangfu 1, Pai-Yu Chen 3, Shimeng Yu 3, Yu Cao 3,

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Digital Integrated Circuits Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays

More information

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul Maximize energy efficiency in a normally-off system using NVRAM Stéphane Gros Yeter Akgul Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31, 2017 2 Summary

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Can MRAM be a factor for HPC?

Can MRAM be a factor for HPC? IC Power Consumption ITRS roadmap (W/cm2) Can MRAM be a factor for HPC? 1. Introduction 2. Can MRAM help? 3. Which MRAM? Logic is the major issue! Memory Wall High Performance Computing Current HPC! Pétaflops

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories Japanese Journal of Applied Physics Vol., No. B, 200, pp. 329 3300 #200 The Japan Society of Applied Physics A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static

More information

SRAM. Introduction. Digital IC

SRAM. Introduction. Digital IC SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

Reconfigurable Spintronic Fabric using Domain Wall Devices

Reconfigurable Spintronic Fabric using Domain Wall Devices Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Hybrid STT CMOS Designs for Reverse engineering Prevention

Hybrid STT CMOS Designs for Reverse engineering Prevention Hybrid STT CMOS Designs for Reverse engineering Prevention Theodore Winograd George Mason University Hassan Salmani* Howard University Hamid Mahmoodi San Francisco State University Kris Gaj George Mason

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

A Time-Multiplexed FPGA

A Time-Multiplexed FPGA A Time-Multiplexed FPGA Steve Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong Xilinx, nc. 2 100 Logic Drive San Jose, CA 95124 408-559-7778 steve.trimberger @ xilinx.com Abstract This paper describes

More information

Innovative Power Control for. Performance System LSIs. (Univ. of Electro-Communications) (Tokyo Univ. of Agriculture and Tech.)

Innovative Power Control for. Performance System LSIs. (Univ. of Electro-Communications) (Tokyo Univ. of Agriculture and Tech.) Innovative Power Control for Ultra Low-Power and High- Performance System LSIs Hiroshi Nakamura Hideharu Amano Masaaki Kondo Mitaro Namiki Kimiyoshi Usami (Univ. of Tokyo) (Keio Univ.) (Univ. of Electro-Communications)

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

Preventing Design Reverse Engineering with Reconfigurable Spin Transfer Torque LUT Gates

Preventing Design Reverse Engineering with Reconfigurable Spin Transfer Torque LUT Gates Preventing Design Reverse Engineering with Reconfigurable Spin Transfer Torque LUT Gates Theodore Winograd 1, Hassan Salmani 2, Hamid Mahmoodi 3, Houman Homayoun 1 1 George Mason University 2 Howard University

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information.

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information. Registers Readings: 5.8-5.9.3 Storage unit. Can hold an n-bit value Composed of a group of n flip-flops Each flip-flop stores 1 bit of information ff ff ff ff 178 Controlled Register Reset Load Action

More information

Steven Geiger Jackson Lamp

Steven Geiger Jackson Lamp Steven Geiger Jackson Lamp Universal Memory Universal memory is any memory device that has all the benefits from each of the main memory families Density of DRAM Speed of SRAM Non-volatile like Flash MRAM

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 201 Memories Lecture 14: 1 Announcements HW6 will be posted tonight Lab 4b next week: Debug your design before the in-lab exercise Lecture 14: 2 Review:

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new Wolverine MCU platform

Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new Wolverine MCU platform WHITE PAPER Jacob Borgeson, MSP430 product marketing engineer, MSP430 product marketing team, Texas Instruments Introduction Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new

More information

MRAM in 2024 How we got there?

MRAM in 2024 How we got there? MRAM in 2024 How we got there? Panel Discussion Chair: Satoru Araki, Sr.Dir, Prog/Prod Mgmt, Spin Transfer Technologies Goal: Visualize what we can expect, and what we need to work on Panelists: - Tom

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Jongyeon Kim, Bill Tuohy, Cong Ma, Won Ho Choi, Ibrahim Ahmed, David Lilja, and Chris H. Kim University of Minnesota Dept. of ECE 1 Overview

More information