SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

Size: px
Start display at page:

Download "SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions"

Transcription

1 SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central CAD and Solutions, Agrate Brianza, Italy 2 STMicroelectronics, Central CAD and Solutions, Greater Noida, India Signal And Power Integrity Workshop, May 16 th, 2012, Sorrento, ITALY

2 Today s I/Os Challenges 2 Higher I/O count Increasing operating frequencies Faster signal edge rates High I/O density makes it difficult to place PCB decaps close enough to the pads Automotive specific challenge: I/Os signal conducted EMI EMC and signal integrity are another mandatory objective for first silicon success

3 EMC At The End Of The Design Flow 3

4 Our Vision: EMC-Aware Design 4 Architectural Design DESIGN EMC Tools EMC Design Guidelines EMC Training Floorplan Synthesis and Place&Route Verification EMC Models EMC Simulations Compliance? NO YES FABRICATION EMC compliant

5 Gnd Reflection, Crosstalk, And SSO Noise 5 Victim +_+_+_ Trace 1 Gnd +_ Chip 2 Gnd +_+_+_ Trace 2 Gnd +_ Chip 1 +_ Gnd Trace3

6 SSO Noise And Conducted EMI 6 Switching aggressor VDD Stable victim VDD Stray capacitance I/O signal noise Ground inductance V = L di/dt Discharge current I/O signal conducted emissions

7 System-Level EMI Simulation Flow 7

8 I/O Ring Circuit 8 Any realistic signal integrity and EMI simulation must include the complete system-level macromodel (die, package, board) A full transistor-level simulation of the I/O ring is impractical even for a limited number of adjacent toggling I/Os and a given victim

9 SSO Flow 9

10 I/O Bank For EMI Analysis 10 Conducted Emission Test Pin PG[11] VSS (0V) VDD (5V) PG15 PE14 PE15 PG10 PG11 PC3 PC2 PA5 PA6 TMS PC1 PAD_111 M BD2S3MS1ARUD_FC_ISO S PAD_78 BD2SS1RUD_FC_ISO PAD_79 M BD2S3MS1ARUD_FC_ISO S PAD_106 BD2SS1RUD_FC_ISO PAD_107 M BD2S3MS1ARUD_FC_ISO S PAD_35 BD2SS1RUD_FC_ISO PAD_34 M BD2S3MS1ARUD_FC_ISO PAD_5 M BD2S3MS1ARUD_FC_ISO S PAD_6 BD2SS1RUD_FC_ISO PAD_TMS M BD2S3MS1ARUD_FC_ISO F PAD_33 BD3M10FS1ARUDLP_FC_ISO VSS_HV_IO0_N0 VSSIO_ESDHV_FC_ISO VSS_HV_FLA0 VSSCO_FC_ISO VDD_HV_FLA0 VDDCO_FC_ISO VDD_HV_IO0_N0 VDDIO_FC_ISO Stable Victim Switching aggressors

11 Design Solutions For SSO Noise Reduction 11 I/Os signal skewing I/O ring fillercap insertion I/O pads reduced driving strength I/O ring power/ground supply placement

12 I/O Skewing Impact on EMI 12 Typical I/Os working frequency for automotive applications are in the range of a few KHz and do not need to toggle synchronously A relative skew in the range of about 10ns is compatible with a correct functionality of the I/O ring Harmonic amplitude reduction of several dbµvs obtained in the frequency range of 1GHz On some critical harmonics amplitude reduction of about 10dBµV

13 I/O Fillercaps Insertion And Driving Strength Reduction 13 I/O fillercap insertion did not prove to be an effective technique Huge amount of decaps and related area to achieve only few dbµv amplitude reduction Difficult to exploit this technique on typical pad-limited I/O rings I/O driving strength reduction (when compliant with the timing constraints) from MEDIUM to SLOW strength version can further reduce the SSO noise

14 SSO Flow Validation 14 The gate-level SSO flow was validated vs. full Spice-level simulations Aggr. PADs Input Victim PAD Output ELDO SSO 1 st Peak (mv) nd Peak (mv)

15 SSO Flow Validation 15 The gate-level SSO flow was validated vs. full Spice-level simulations Aggr. PADs Input Victim PAD Output ELDO SSO 1 st Peak (mv) nd Peak (mv)

16 IBIS For SSO And EMI Analysis 16 Traditionally IBIS models have been used for signal integrity simulations on system PCBs Behavioral modeling IP protection Fast simulation time Reasonable accuracy However IBIS v4.0 cannot include predriver and crossbar currents and I/O ring power/ground supply bouncing which is the dominant source of SSO and conducted EMI To validate IBIS v5.0 for EMI analysis the same STYY I/O bank was used IBIS v5.0 was compared vs. full transistor-level simulations

17 IBIS Validation Flow 17 I/Os IBIS model I/Os Spice netlist Package model (Ansys) Mentor Graphics ELDO Board model power and signal traces (Sigrity) Timedomain waveforms Frequency-domain analysis (MentorGraphics EZwave) SSO Spectra Conducted EMI

18 IBIS For SSO And EMI Analysis 18 IBIS v5.0 vs. Spice Time-domain analysis Frequency-domain analysis IBIS vs. Spice: good accuracy up to 2GHz

19 Summary 19 CAD flow and design solutions for SSO and I/O conducted EMI analysis and optimization were presented Methodology exploited on an industrial automotive microcontroller in ST 90nm with envm technology IBIS v5.0 can be used for a reliable SSO and EMI analysis

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

ProASIC3/E SSO and Pin Placement Guidelines

ProASIC3/E SSO and Pin Placement Guidelines ProASIC3/E SSO and Pin Placement Guidelines Introduction SSO Effects Ground bounce and VCC bounce have always been present in digital integrated circuits (ICs). With the advance of technology and shrinking

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Simulation Using IBIS Models and Pin Mapping Issues

Simulation Using IBIS Models and Pin Mapping Issues Power/Gnd Simulation Using IBIS Models and Pin Mapping Issues Raj Raghuram Sigrity, Inc. IBIS Summit Meeting, Sep. 13, 2001 2/14/96 Outline Motivation automated Power/Gnd simulation Example of Power/Gnd

More information

Advances in 3D Simulations of Chip/Package/PCB Co-Design

Advances in 3D Simulations of Chip/Package/PCB Co-Design Advances in 3D Simulations of Chip/Package/PCB Co-Design Richard Sjiariel, CST AG Co-design environment Signal Integrity and timing Thermal analysis and stress Power Integrity and noise analysis EMC/EMI

More information

EMC Guidelines for MPC500-Based Automotive Powertrain Systems

EMC Guidelines for MPC500-Based Automotive Powertrain Systems Order this document by: AN2127/D APPLICATION NOTE EMC Guidelines for MPC500-Based Automotive Powertrain Systems by Stevan Dobrasevic Advanced Vehicle Systems Division, Motorola SPS Rev. 1, 11 March 2002

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Wie entsteht ein EMV-Modell für eine integrierte Schaltung? Wie entsteht ein EMV-Modell für eine integrierte Schaltung? P. Schneider Overview Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018 Application Note AN105 A1 PCB Design and Layout Considerations for Adesto Memory Devices March 8, 2018 Adesto Technologies 2018 3600 Peterson Way Santa Clara CA. 95054 Phone 408 400 0578 www.adestotech.com

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements

Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements Bernd Garben IBM Laboratory, 7032 Boeblingen, Germany, e-mail: garbenb@de.ibm.com

More information

Application Note. EMC Design Guide. F 2 MC-8L Family. History 04 th Jul 02 NFL V1.0 new version

Application Note. EMC Design Guide. F 2 MC-8L Family. History 04 th Jul 02 NFL V1.0 new version Application Note EMC Design Guide F 2 MC-8L Family Fujitsu Mikroelektronik GmbH, Microcontroller Application Group History 04 th Jul 02 NFL V1.0 new version 1 Warranty and Disclaimer To the maximum extent

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App Chong Min-Jie Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Page Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Min-Jie Chong HPS Product Manager & Planner Oscilloscope

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide PCB Design Tools Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Simultaneous Switching

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Report # 20GC004-1 November 15, 2000 v1.0

Report # 20GC004-1 November 15, 2000 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK HS3 Connector Routing Report # 20GC004-1 November 15, 2000 v1.0 Z-PACK HS3 6 Row 60 Position and 30 Position Connectors Copyright 2000 Tyco

More information

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8882 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, MDDI, USB, SATA, and Gigabit Ethernet

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

IBIS PDN Feature Studies

IBIS PDN Feature Studies IBIS PDN Feature Studies Randy Wolff Micron Technology Lance Wang IO Methodology DAC IBIS Summit San Diego, CA, USA based on 2011 European IBIS Summit presentation and updates 2010 Micron Technology, Inc.

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

ESD Protection Layout Guide

ESD Protection Layout Guide Application Report Guy Yater... High Volume Linear ABSTRACT Successfully protecting a system against electrostatic discharge (ESD) is largely dependent on the printed circuit board (PCB) design. While

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC

VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC Universal Journal of Electrical and Electronic Engineering 5(1): 1-8, 2017 DOI: 10.13189/ujeee.2017.050101 http://www.hrpub.org VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC Mao-Hsu

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics)

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics) TITLE Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn (Samsung Electronics) Image Jin-Sung Youn, Jieun Park, Jinwon Kim, Daehee Lee, Sangnam Jeong, Junho Lee, Hyo-Soon

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Background Low-power (LP) SDRAM, including both low-power double data rate (LPDDR) and

More information

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters ISSUE: May 2016 Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters by Benoît Renard, STMicroelectronics, Tours, France Inrush current limiting is required in a wide spectrum of

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Application Note, V 1.0, April 2005 AP32086 EMC. Design Guideline for TC1796 Microcontroller Board Layout. Microcontrollers. Never stop thinking.

Application Note, V 1.0, April 2005 AP32086 EMC. Design Guideline for TC1796 Microcontroller Board Layout. Microcontrollers. Never stop thinking. Application Note, V 1.0, April 2005 AP32086 EMC Design Guideline for TC1796 Microcontroller Board Layout Microcontrollers Never stop thinking. TriCore Revision History: 2005-04 V 1.0 Previous Version:

More information

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design Chao-Hung Lu Department of Electrical Engineering National Central University Taoyuan, Taiwan, R.O.C. Email:

More information

RClamp0524PA RClamp0522P

RClamp0524PA RClamp0522P PROTECTION PRODUCTS - RailClamp Description RailClamp TVS arrays are ultra low capacitance ESD protection devices designed to protect high speed data interfaces. This series has been specifically designed

More information

PCB Design for Capacitance Rain Sensor

PCB Design for Capacitance Rain Sensor PCB Design for Capacitance Rain Sensor Danny Kang April 14, 2010 EXECUTIVE SUMMARY The definition of sensor is a device that measures a physical quantity and converts it into a signal which can be read

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

T10 Technical Committee From: Barry Olawsky, HP Date: 13 January 2005 Subject: T10/05-025r1 SFF8470 Crosstalk Study

T10 Technical Committee From: Barry Olawsky, HP Date: 13 January 2005 Subject: T10/05-025r1 SFF8470 Crosstalk Study To: T10 Technical Committee From: Barry Olawsky, HP (barry.olawsky@hp.com) Date: Subject: T10/ Revision History Revision 0 (5 January 2005) First revision Revision 1 () Second revision Further clarify

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Ji Zheng Director, Chip Package System Apache Design Solutions 2011 ASP-DAC Designer s Forum January 27, 2011

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

Challenges and Opportunities for Design Innovations in Nanometer Technologies

Challenges and Opportunities for Design Innovations in Nanometer Technologies SRC Design Sciences Concept Paper Challenges and Opportunities for Design Innovations in Nanometer Technologies Jason Cong Computer Science Department University of California, Los Angeles, CA 90095 (E.mail:

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY

MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY System Board 6289 MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY To meet the increasing demands for non-isolated Power over Ethernet (PoE) power solutions, Maxim has developed innovative,

More information

RClamp0502A RailClamp Low Capacitance TVS Array

RClamp0502A RailClamp Low Capacitance TVS Array - RailClamp Description The RailClamp series consists of ultra low capacitance TS arrays designed to protect high speed data interfaces. This series has been specifically designed to protect sensitive

More information

RClamp2504P & RClamp3304P RailClamp 2.5V & 3.3V TVS Arrays

RClamp2504P & RClamp3304P RailClamp 2.5V & 3.3V TVS Arrays - RailClamp Description RailClamp is a low capacitance TS array designed to protect high speed data interfaces. This series has been specifically designed to protect sensitive components which are connected

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information