Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Size: px
Start display at page:

Download "Wie entsteht ein EMV-Modell für eine integrierte Schaltung?"

Transcription

1 Wie entsteht ein EMV-Modell für eine integrierte Schaltung? P. Schneider Overview Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 2

2 Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 3 Motivation Early and fast power supply network integrity analysis with low amount of design data available. Page 4

3 Motivation Early and fast power supply network integrity analysis with low amount of design data available. Creating a power integrity model for a automotive microcontroller Page 5 Motivation Early and fast power supply network integrity analysis with low amount of design data available. Creating a power integrity model for a automotive microcontroller First Time Right Page 6

4 Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 7 Indroduction Analog Mixed-Signal Trends & Challenges [1] Page 8

5 Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 9 Signal- and Power Integrity Signal Integrity [2] Page 10

6 Signal- and Power Integrity Power Integrity [2] Page 11 Signal- and Power Integrity The differences between SI and PI [3] Page 12

7 Motivation Indroduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 13 Simulation Tools Page 14

8 Simulation Tools Page 15 Simulation Tools Page 16

9 Simulation Tool - Totem Totem: Core Technologies [1] Page 17 Simulation Tool - Totem Totem Analysis Flow [1] Page 18

10 Simulation Tool - Totem Totem methodology for dynamic voltage drop analysis [1] Page 19 Simulation Tool - Totem Totem methodology for dynamic voltage drop analysis [1] Page 20

11 Simulation Tool - Totem Totem Flow Chip Macro Module (CMM) [1] Page 21 Simulation Tool Totem Results Connectivity Analysis Static IR Analysis Dynamic Voltage Drop Substrate Noise Coupling Reliability Analysis Page 22

12 Simulation Tool - RedHawk RedHawk Overview [1] Page 23 Simulation Tool - RedHawk RedHawk SoC Power Noise Reliability Sign-off [1] Page 24

13 Motivation Indroduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 25 Input Data Preparation - Static Layout Data Page 26

14 Input Data Preparation - Static Layout Data Technology Data Page 27 Input Data Preparation - Static Layout Data Technology Data Current Probes Page 28

15 Input Data Preparation - Static Layout Data Technology Data Current Probes Page 29 Input Data Preparation - Static Layout Data Technology Data Current Probes Voltage Source(s) Page 30

16 Input Data Preparation pure Page 36 Input Data Preparation Spice Page 37

17 Motivation Indroduction Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 38 Totem Data Preparation probe generation with APLMMX running Spice simulation generating electrical models with APLMMX preparing the physical models with the GSR file. Page 39

18 Motivation Indroduction Simulation Tools Input Data Preparation gds2def & Power Annotation Totem Data Preparation Dynamic Voltage Drop Simulation System Simulations Page 40 Dynamic Voltage Drop static dynamic Page 41

19 Dynamic Voltage Drop dynamic Page 42 Dynamic Voltage Drop dynamic Page 43

20 Dynamic Voltage Drop Page 44 Motivation Indroduction Simulation Tools Input Data Preparation gds2def & Power Annotation Dynamic Voltage Drop Simulation Totem Data Preparation System Simulations Page 45

21 System Simulations Page 46 System Simulations Chip Power Model (CPM) [1] The Chip Power Model captures the active and parasitic components in the chip to enable accurate EMI analysis Page 47

22 System Simulations Early System-level EMI Analysis [1] EMI analysis flow using RedHawk, CPM, and Sentinel-PSI Page 48 System Simulations Page 49

23 Conclusion Totem allows easy and fast verification of power supply networks inside costum analogue & mixed-signal macros with little input data required. Very accurate simulations of supply voltage and current fluctuations can be done: On transistor-level with Totem. On gate-level with RedHawk. A macro- or chip-model can be generated and included in system simulations Page 50 Sources [1] ANSYS Totem/RedHawk [2] Christian Schuster; Fundamentals of Signal and Power Integrity; IEEE EMC Society , Institute of Electromagnetic Theory Hamburg University of Technology (TUHH) [3] Patrick Carrier; What s The Difference Between Signal Integrity And Power Integrity?; Product Marketing Manager for High Speed PCB Analysis Tools, Mentor Graphics Page 51

24

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Yao-Jiang Zhang, Jun Fan and James L. Drewniak Electromagnetic Compatibility (EMC) Laboratory, Missouri University of Science &Technology

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Contents 1 Introduction 1 1.1 Introduction 1 1.2 EMI vs EMC 3 1.3 Interference sources 3 1.4 Need for standards 5 1.5 EMC

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Case study of IBIS V4.1 by JEITA EDA-WG

Case study of IBIS V4.1 by JEITA EDA-WG Case study of IBIS V4.1 by JEITA EDA-WG June 8, 2004 IBIS SUMMIT in San Diego, California JEITA EDA-WG A. Itoh,, T. Watanabe, N. Matsui JEITA ; Japan Electronics and Information Technology Industries Association

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

IGBT Drivers Design for Quality

IGBT Drivers Design for Quality IGBT Drivers Design for Quality Heinz Rüedi and Jan Thalheim CT-Concept Technologie AG Renferstrasse 15 2504 Biel-Bienne (Switzerland) Tel +41 32 341 41 01 Fax +41 32 341 71 21 E-Mail Info@IGBT-Driver.com

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

AS0260 Image Sensor with Power & Clocking Reference Design

AS0260 Image Sensor with Power & Clocking Reference Design Design Note DN05111/D AS0260 Image Sensor with Power & Clocking Reference Design Device Application Output Voltage Output Current Dropout Voltage Package NCP163 Image Sensor 2.8 V & 1.8 V 250 ma 80 mv

More information

Project design tutorial (I)

Project design tutorial (I) Project design tutorial (I) Design or project specifications Divide the project or system into blocks or subsystems (top-down design) (hierarchical design) Analogue subsystem Mixed-signal subsystem Digital

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC

VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC Universal Journal of Electrical and Electronic Engineering 5(1): 1-8, 2017 DOI: 10.13189/ujeee.2017.050101 http://www.hrpub.org VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC Mao-Hsu

More information

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS 39/B, Yogashram Society, Behind Manekbaug Society, Ahmedabad 380015, INDIA TEL - +91-9825366832 EMAIL: gaurav_jogi@yahoo.co.in URL: http://gjmicrosys.tripod.com

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC GSI Helmholtzzentrum für Schwerionenforschung GmbH Experiment Electronics Department December 5, 2016 Outline 1 Motivation 2 3 Motivation Currently

More information

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 1 2015 ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 Printed Circuit Board Reliability Real world operating conditions = Multiphysics environment Electrical Reliability Power and Signal Integrity

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

EMI Filtering of an Automotive Engine Controller Module Connector. Test Results #TR 2004, v1.0

EMI Filtering of an Automotive Engine Controller Module Connector. Test Results #TR 2004, v1.0 EMI Filtering of an Automotive Engine Controller Module Connector Test Results #TR 2004, v1.0 DISCLAIMER: Information and suggestions furnished in this document by X2Y Attenuators, LLC are believed to

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Ji Zheng Director, Chip Package System Apache Design Solutions 2011 ASP-DAC Designer s Forum January 27, 2011

More information

EMC Guidelines for MPC500-Based Automotive Powertrain Systems

EMC Guidelines for MPC500-Based Automotive Powertrain Systems Order this document by: AN2127/D APPLICATION NOTE EMC Guidelines for MPC500-Based Automotive Powertrain Systems by Stevan Dobrasevic Advanced Vehicle Systems Division, Motorola SPS Rev. 1, 11 March 2002

More information

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07 12 Peter Marwedel TU Dortmund, Informatik 12 2009/11/07 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Modeling levels Levels of hardware modeling Possible set of levels (others exist) System level Algorithmic

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

Advances in 3D Simulations of Chip/Package/PCB Co-Design

Advances in 3D Simulations of Chip/Package/PCB Co-Design Advances in 3D Simulations of Chip/Package/PCB Co-Design Richard Sjiariel, CST AG Co-design environment Signal Integrity and timing Thermal analysis and stress Power Integrity and noise analysis EMC/EMI

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Department of Unmanned System Technology

Department of Unmanned System Technology Department of Unmanned System Technology 1. Educational Goal 1) Training advanced manpower to lead unmanned system technology and related high-value-added technology industry where demand for defense and

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks

Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks 2015 The MathWorks, Inc. 1 What will you learn in this presentation? For those who are not familiar with Simulink

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

Chhattisgarh Swami Vivekanand Technical University, Bhilai

Chhattisgarh Swami Vivekanand Technical University, Bhilai Sr. No. Chhattisgarh Swami Vivekanand Technical University, Bhilai SCHEME OF MASTER OF TECHNOLOGY Electronics & Telecommunication Engineering (VLSI & Embedded System Design) Board Of Studies Code M. Tech.

More information

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

HSP series portfolio overview. High-speed port ESD protection

HSP series portfolio overview. High-speed port ESD protection HSP series portfolio overview High-speed port ESD protection Is this presentation suited for you? 2 Where do you stand with high-speed port protection? Beginner? I am not familiar with this subject. I

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

Virtual Prototyping of Power Supply Designs

Virtual Prototyping of Power Supply Designs Virtual Prototyping of Power Supply Designs Using Design Verification Module (DVM) To Reduce Design Cycle Time April 21, 2011 You-Shang Technical Corp. Seminar Thomas G. Wilson, Jr. SIMPLIS Technologies

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution Yipin Zhang, Cor Scherjon Institut für Mikroelektronik Stuttgart Allmandring 30 a 70569 Stuttgart This paper presents

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

What s New OrCAD 16.6 Quarterly Incremental Release #7

What s New OrCAD 16.6 Quarterly Incremental Release #7 What s New OrCAD 16.6 Quarterly Incremental Release #7 Josh Moore Director Product Marketing Cadence OrCAD Solutions Parag Choudhary Product Engineering Cadence OrCAD Solutions Additional Material and

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Gerhard Noessing, Villach

Gerhard Noessing, Villach Gerhard Noessing, Villach AGENDA Frequency Domain simulation Matlab or SystemC-AMS? Noise simulation with SystemC-AMS Compare Time Domain with Frequency Domain Simulation Simulation Results Conclusion

More information

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters ISSUE: May 2016 Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters by Benoît Renard, STMicroelectronics, Tours, France Inrush current limiting is required in a wide spectrum of

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the website.

The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the  website. PICAXE VSM Tutorial Part 1 The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the www.picaxevsm.com website. Part 1 Part 2 Part 3 Part 4 Park

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the

More information

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach 1 ANSYS, Inc. Proprietary 2012 ANSYS, Inc. November 14, 2012 1-1 Agenda DDR Design Challenges How does simulation solve these

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics)

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics) TITLE Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn (Samsung Electronics) Image Jin-Sung Youn, Jieun Park, Jinwon Kim, Daehee Lee, Sangnam Jeong, Junho Lee, Hyo-Soon

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Methodologies for the evaluation of the EMCbehaviour. D. Pissoort KU Leuven - Kulab, Belgium

Methodologies for the evaluation of the EMCbehaviour. D. Pissoort KU Leuven - Kulab, Belgium Methodologies for the evaluation of the EMCbehaviour of large machines D. Pissoort KU Leuven - Kulab, Belgium Overview Introduction Directives/Standards? EMC Assessment of Large DUTs CE + CE =?? Conclusion

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support. 1. Services 1.1 Consulting Services Services offered to a unique customer to deliver modified or completed electronic designs, including semiconductor or Semiconductor Intellectual Property (SIP) products,

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Model Connection Protocol extensions for Mixed Signal SiP

Model Connection Protocol extensions for Mixed Signal SiP Model Connection Protocol extensions for Mixed Signal SiP Taranjit Kukal (kukal@cadence.com) Dr. Wenliang Dai (wldai@cadence.com) Brad Brim (bradb@sigrity.com) Presented by: Yukio Masuko Cadence Note:

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

VERY LOW POWER MICROPROCESSOR CELL

VERY LOW POWER MICROPROCESSOR CELL VERY LOW POWER MICROPROCESSOR CELL Puneet Gulati 1, Praveen Rohilla 2 1, 2 Computer Science, Dronacharya College Of Engineering, Gurgaon, MDU, (India) ABSTRACT We describe the development and test of a

More information