Beyond-CMOS Technology Roadmap. An Chen Emerging Research Devices (ERD), ITRS

Size: px
Start display at page:

Download "Beyond-CMOS Technology Roadmap. An Chen Emerging Research Devices (ERD), ITRS"

Transcription

1 Beyond-CMOS Technology Roadmap An Chen Emerging Research Devices (ERD), ITRS

2 2 For slides, questions, and comments, please contact me at:

3 Outline Introduction Emerging logic devices CMOS extension vs. beyond-cmos devices Beyond-CMOS device assessment Emerging memory devices Emerging memory taxonomy and assessment Promising emerging memories: STTRAM, RRAM, FeFET Emerging architectures Beyond von-neumann architectures Non-volatility information processing From scaling driver to function/application driver More-than-Moore: functional diversification Summary 3

4 Technology Innovations Driven by Scaling 4 Beyond-CMOS technologies J. Y.C. Sun, VLSI Tech., T2 (2013)

5 A Roadmap from ITRS PIDS 5 Courtesy of: Yuzo Fukuzaki, cited from M. Badaroglu, More Moore scaling: opportunities and inflection points, ERD Meeting: Bridging Research Gap between Emerging Architectures and Devices, Feb 27, 2015?

6 Power density (W/cm 2 ) Energy Crisis on Chip 6 Scaling increasing power density Low-power design and multi-core introduced Beyond-CMOS devices for low-power solution? Processor peak power density 60 Suppliers: AMD, Intel, SPARC Symbol size = # of cores Year Courtesy of Jonas Wei-ting Chan, Andrew Kahng (UCSD) Source: Bernard S. Meyerson (IBM) Beyond-CMOS?

7 ITRS Emerging Research Devices (ERD) 7 Emerging Research Devices Emerging devices Emerging architectures More-than- Moore New directions Memory Embedded NVMs Storage class memory Emerging devices for RF Sensor applications Logic Low power Devices with learning capabilities Security applications.. A. Chen, J. Hutchby, V. Zhirnov, G. Bourianoff (Ed s) Emerging Nanoelectronic Devices (Wiley, Jan. 2015) ,subjectCd-EE13.html

8 ERD Methodology 8 Selection Criteria to select technology entries to be added or removed in the ERD chapter Transition of technology entries in and out of the chapter Categorization Categorize technology entries based on the types and mechanisms Important considerations for materials, e.g., Si, III-V, carbon-based, 2D materials, etc. Evaluation Conduct survey-based critical review among ERD experts Reference to quantitative benchmark from research community

9 Outline Introduction Emerging logic devices CMOS extension vs. beyond-cmos devices Beyond-CMOS device assessment Emerging memory devices Emerging memory taxonomy and assessment Promising emerging memories: STTRAM, RRAM, FeFET Emerging architectures Beyond von-neumann architecturess Non-volatility information processing From scaling driver to function/application driver More-than-Moore: functional diversification Summary 9

10 Charge Non-charge Emerging Logic Devices 10 ITRS ERD categorizes emerging logic devices into three groups based on state variables and mechanisms State variable Non-charge, beyond-cmos Spin wave Nanomagnet DW logic BiSFET ExFET Spin-torque All spin logic Si FET FinFET SpinFET Atomic sw. RTD Ge & III-V NW FET TFET SET NEMS QCA Graphene FET CNT FET Mott FET Neg-C g IMOS CMOS extension Conventional Novel Charge, beyond-cmos Mechanism

11 CMOS Extension and Beyond-CMOS A basic electronic switch model a E b CMOS extension: New materials Strain, SiGe, Ge, III-V, CNT, New structures FinFET, gate-all-around, Beyond-CMOS devices: new mechanism K. Kuhn, IEDM, 171 (2012) 11 New transport mechanisms E.g., tunneling New gating mechanisms E.g., mechanical ferroelectric New state variables E.g., spin Source Gate Drain

12 Percentage of vote Emerging Logic Device Survey 12 20% Only showing devices with more than 10% vote Most promising Most need of resources 15% 10%

13 Carbon Nanotube (CNT) FET S.J. Han, ERD Emerging logic device assessment workshop Advantages: Scalability Ultra-thin body Ballistic transport Gate-all-around Challenges: Purity, placement, density Variability Contact resistance NFET for CMOS Rc 9nm L ch FET Size-exclusion chromatography

14 Tunnel Field-Effect-Transistor (TFET) 14 QM band-to-band tunneling enables steep sub-threshold slope for low-power operation Challenges: Improve I on while keeping SS and I off low More stringent material, device, and fabrication requirements Reduce interface state density Body thickness scaling at advanced nodes Device variation (body thickness, G-S overlap) TFET surpasses MOSFET in energy at low V dd S. Datta, ERD Emerging logic device assessment workshop. 2014

15 Outline Introduction Emerging logic devices CMOS extension vs. beyond-cmos devices Beyond-CMOS device assessment Emerging memory devices Emerging memory taxonomy and assessment Promising emerging memories: STTRAM, RRAM, FeFET Emerging architectures Beyond von-neumann architectures Non-volatility information processing From scaling driver to function/application driver More-than-Moore: functional diversification Summary 15

16 Emerging Memory Devices 16 Memory Volatile SRAM Baseline DRAM Flash Stand-alone NOR Embedded NAND 4F 2 footprint Nonvolatile Prototypical FeRAM PCM MRAM STT-RAM PIDS Two terminal structures Emerging Ferroelectric Memory FeFET FTJ ReRAM ERD Electrochemical Metallization Bridge Metal Oxide - Bipolar Filamentary Metal Oxide - Unipolar Filamentary Metal Oxide - Bipolar Nonfilamentary Mott Memory Carbon Memory Macromolecular Memory Molecular Memory

17 Percentage of vote Emerging Memory Device Survey 17 40% 30% Only showing devices with more than 10% vote Most promising Most need of resources 20% 10% 1st 2nd 1st 2nd

18 STTRAM: Spin-Transfer-Torque RAM 18 Nonvolatile memory with endurance and speed comparable to those of DRAM and SRAM Challenges: Perpendicular-MTJ with sufficient parameters Integration and manufacturability Variability control Cost and commercial factors J. M. Slaughter, IEDM, 29.3 (2012) C. Yoshida, VLSI Tech., 59 (2012)

19 RRAM: Resistive RAM (Including CBRAM) 19 Advantages: Potentially low-cost Potentially high-density Reasonable speed and endurance Versatile devices, materials and structures (difficulties in down-selection and focus?) Challenges: Stochastic mechanisms Intrinsic variability Controllability and repeatability Failure mechanisms Forming requirements 16Gb CBRAM (Micron/Sony) G. Jurczak, ERD Emerging logic device assessment workshop Rich Fackenthal, ISSCC (2014)

20 Emerging NVMs toward Commercialization 20 Active industry R&D Testchip reports Early production 8Mb RRAM, Gb RRAM, kB RRAM in 8-bit microcontroller (2013) 16Gb CBRAM, 2014 RRAM 32Mb, in-plane, Mb, in-plane, Mb DDR3 STTRAM (2013) 64Mb, p-mtj, 2010 STTRAM

21 physical gate length ( m) Ferroelectric-FET (FeFET) RAM 21 ON: I D > 0 OFF: I D ~ 0 A key breakthrough: Ferroelectric HfO x J. Muller, ERD Emerging logic device assessment workshop Fe-HfOx closes FeFET gate length scaling gap perovskite organic FE-HfO publication year

22 Outline Introduction Emerging logic devices CMOS extension vs. beyond-cmos devices Beyond-CMOS device assessment Emerging memory devices Emerging memory taxonomy and assessment Promising emerging memories: STTRAM, RRAM, FeFET Emerging architectures Beyond von-neumann architectures Non-volatility information processing From scaling driver to function/application driver More-than-Moore: functional diversification Summary 22

23 Emerging Architectures 23 Conventional von Neumann architecture: dominant in today s computing systems Novel architectures beyond von Neumann Cellular automata Co-located memory-logic (e.g., processor-in-memory, Memory-in-logic, computational memory, nonvolatile logic) Reconfigurable computing Cognitive computing (e.g., neuromorphics, machine learning) Statistical and stochastic computing (e.g., statistical inference, approximate computing) Collective-effect computing (e.g., coupled oscillator network)

24 Brain-Inspired Architectures 24 P.A. Merolla, et al, Science 345, 668 (2014)

25 Emerging Logic Device Benchmark 25 Graphene P-N junction D.E. Nikonov, IEDM, p. 576 (2012) Spin-torque oscillator logic Spin-transfer-torque domain-wall Alll-spin-logic device Nano-Magnet Logic Hetero-junction tunnel FET Graphene nanoribbon tunnel FET Spin-torque majority gate Spin-wave device Benchmark emerging devices at logic gate levels (e.g., 32bit adder) Energy-delay tradeoffs extend to beyond-cmos devices

26 Unique Properties of Beyond-CMOS Devices 26 Nonvolatility Built-in memory in logic devices Efficient logic implementation E.g., majority gate Structural / layout regularity E.g., Quantum Cellular Automata (QCA), crossbar arrays Self-adaptive property Coherent or collective behaviors Low-power switching, robustness Novel architectures and designs enabled by these unique device characteristics?

27 Non-Volatile Information Processing (NVIP) 27 NVM MTJ, ReRAM, FRAM, FeFET, PCM, Flash NV gates and logic CMOS logic SRAM, FF, adder, CAM, LUT, FPGA, Leverage fast-growing emerging NVM technologies E.g., STTRAM, RRAM, FeFET, Reduce/eliminate standby power Run-time power-gating Increase throughput and lower power Reduced data movement; immediate data availability Enable novel architectures Non-von-Neumann architectures (e.g., cellular automata), computation-in-memory, latch-less pipeline design,... Nonvolatile switches FF: flip-flop LUT: look-up table CAM: content-addressable memory

28 NVIP: Examples Ferroelectric flip-flop ReRAM-based NV SRAM P.F. Chiu, et al, JSSC 47, 1483 (2012) 28 MTJ-based NV adder S. Matsunaga, et al, APE 1, (2008) M. Koga, et al, TENCON, 317 (2010) Magnetic LUT ReRAM-based programmable interconnect W.S. Zhao, et al, ICVSC, 37 (2011) J. Cong, et al, IEEE TVLSIS 22, 864 (2014)

29 Emerging Architecture Roadmap 29 Challenges Numerous applications and architecture concepts Different performance assessment methods and criteria General-purpose vs. application-specific computing Research gap between emerging architectures and devices A proposed approach Identify common tasks/applications Develop a uniform set of figure-of-merits (FOMs) Assess performance Map with underlying technologies

30 Outline Introduction Emerging logic devices CMOS extension vs. beyond-cmos devices Beyond-CMOS device assessment Emerging memory devices Emerging memory taxonomy and assessment Promising emerging memories: STTRAM, RRAM, FeFET Emerging architectures Beyond von-neumann architectures Non-volatility information processing From scaling driver to function/application driver More-than-Moore: functional diversification Summary 30

31 Booming Mobile and IoT Applications 31

32 More-than-Moore: Functional Diversification 32 ITRS More-than-Moore whitepaper (2011)

33 Emerging Devices for Sensor Node/Network 33 Sensor materials Graphene, 2D materials, functional oxides, Ultra-low power devices and design Sub-threshold and near-threshold design Steep sub-threshold slope devices (e.g., TFET) Nonvolatile memories Low-power, low-cost, high-density RRAM vs. STTRAM Communication components Power management Extremely tight power budget in highly scaled sensor nodes D. Sylvester, Cubic millimeter sensor nodes, Workshop on Rebooting the IT Revolution, March, 2015

34 Address(b) Challenge Address(a) Emerging Devices for Hardware Security 34 Connectivity = vulnerability Utilize ambipolarity of Si nanowire FET for: Logic camouflaging: layout-level obfuscation with similar layouts for different gates Polymorphic gates: multiple functionalities in the same cell Y. Bi, et al, "Emerging Technology based Design Primitives for Hardware Security", submitted. RRAM-based physical unclonable functions (PUF) Random number generator based on random telegraph noise in RRAM 1T1R RRAM cells C.Y. Huang, et al, IEEE EDL 33, 1108 (2012) Eg: R i = 1 if a i > b i R i = 0 if a i < b i (1 i n) n a n Response b Bit-wise comparison A. Chen, IEEE EDL 36, 138 (2015)

35 Align Beyond-CMOS Technologies with New Application Drivers 35 Computing/ Communication 1. Memory 2. Logic 3. Architectures 4. More-than- Moore (RF) Internet-of- Things 1. Low-power devices, e.g., TFET, NEMS 2. Embedded NVM 3. Security, e.g., TRNG, PUFs 4. RF and wireless 5. Sensors integrated with CMOS 6. Energyharvesting devices Cloud/Big Data 1. Optical interconnects 2. Storage Class Memory 3. Efficient DC- DC converters 4. Data driven computing (accelerators for Hadoop, etc) 5. Security Focus of beyond- CMOS technology: Today Emerging Logic Emerging Memory Future Novel architectures Sensor integration Hardware security Energy-harvesting Circuit blocks and architectures for IoT and cloud

36 Summary 36 Beyond-CMOS logic devices focus on low-power and may utilize novel switching mechanisms and/or state variables. Emerging nonvolatile memories have made significant progress and some promising candidates may enable new applications and overcome memory performance bottleneck. Opportunities exist in the research gap between emerging architectures and device technologies. Technology drivers are transitioning from scaling to functions and applications. Technology roadmap needs to be aligned with new market opportunities and technology drivers.

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances Jim Handy Objective Analysis Tom Coughlin Coughlin Associates The Market is at a Nexus PM 2 Emerging Memory Technologies MRAM: Magnetic

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

In-memory computing with emerging memory devices

In-memory computing with emerging memory devices In-memory computing with emerging memory devices Dipartimento di Elettronica, Informazione e Bioingegneria Politecnico di Milano daniele.ielmini@polimi.it Emerging memory devices 2 Resistive switching

More information

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM)

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM) Beyond NVMs Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy ielmini@elet.polimi.it Outline Storage applications Solid-state disk (SSD) Storage class memory (SCM) Logic applications: Crossbar

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

EMERGING NON VOLATILE MEMORY

EMERGING NON VOLATILE MEMORY EMERGING NON VOLATILE MEMORY Innovative components for neuromorphic architecture Leti, technology research institute Contact: leti.contact@cea.fr Neuromorphic architecture Brain-inspired computing has

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM Lucian Shifren ARM R&D San Jose CA 1 What is the Internet of Things? Buzzword Trend Convenient Categorization Industrial Consumer

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Intelligent Memory and Storage Vertical Research Center Sean Eilert Fellow Micron Technology High Level Overview Conventional Bottlenecks

More information

Steven Geiger Jackson Lamp

Steven Geiger Jackson Lamp Steven Geiger Jackson Lamp Universal Memory Universal memory is any memory device that has all the benefits from each of the main memory families Density of DRAM Speed of SRAM Non-volatile like Flash MRAM

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Agenda Current solutions Why MRAM? What is MRAM? History How it works Comparisons Outlook Current Memory Types Memory Market primarily consists

More information

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

Future computer Architectures: Computing in Memory

Future computer Architectures: Computing in Memory Future computer Architectures: Computing in Memory Said Hamdioui Delft University of Technology The Netherlands ASCI Spring School on Heterogeneous Computing Systems May 29 - June 1, 2017 1 Outline Motivation

More information

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Productization driven by AI & ML Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Usage from Cloud to Node CLOUD Compute Storage Deep Learning Training Big data processing

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Adding CEA-LETI Non Volatile Memories for new design exploration

Adding CEA-LETI Non Volatile Memories for new design exploration Adding CEA-LETI Non Volatile Memories for new design exploration Etienne NOWAK CEA-Leti Head of the Advanced Memory Device Laboratory etienne.nowak@cea.fr NON VOLATILE MEMORY (NVM) MARKET TRENDS Low/No

More information

Thinking Fast & Slow: Intuition, Reasoning and Emerging Memory Dave Eggleston Intuitive Cognition Consulting

Thinking Fast & Slow: Intuition, Reasoning and Emerging Memory Dave Eggleston Intuitive Cognition Consulting Thinking Fast & Slow: Intuition, Reasoning and Emerging Memory Dave Eggleston Intuitive Cognition Consulting 2018 Storage Developer Conference. Intuitive Cognition Consulting All Rights Reserved. 1 Abstract

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

CMPE 415 Programmable Logic Devices FPGA Technology I

CMPE 415 Programmable Logic Devices FPGA Technology I Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices FPGA Technology I Prof. Ryan Robucci Some slides (blue-frame) developed by Jim Plusquellic Some images credited

More information

Can MRAM be a factor for HPC?

Can MRAM be a factor for HPC? IC Power Consumption ITRS roadmap (W/cm2) Can MRAM be a factor for HPC? 1. Introduction 2. Can MRAM help? 3. Which MRAM? Logic is the major issue! Memory Wall High Performance Computing Current HPC! Pétaflops

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

Reconfigurable Spintronic Fabric using Domain Wall Devices

Reconfigurable Spintronic Fabric using Domain Wall Devices Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 377-391 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3946 Novel Cell Array Noise Cancelling Design Scheme for Stacked Type MRAM

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Storage and Memory Infrastructure to Support 5G Applications. Tom Coughlin President, Coughlin Associates

Storage and Memory Infrastructure to Support 5G Applications. Tom Coughlin President, Coughlin Associates Storage and Memory Infrastructure to Support 5G Applications Tom Coughlin President, Coughlin Associates www.tomcoughlin.com Outline 5G and its Implementation Storage and Memory Technologies Emerging Non

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

Using Non-Volatile Memory for Computation-in-Memory

Using Non-Volatile Memory for Computation-in-Memory Using Non-Volatile Memory for Computation-in-Memory Wei -Ti Liu LucidPort Technology, Inc. www.lucidport.com Flash Memory Summit 2018 Santa Clara, CA 1 Using Non-Volatile Memory for Computation-in-Memory

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

EMERGING MEMORIES POISED TO EXPLODE An Emerging Memory Report

EMERGING MEMORIES POISED TO EXPLODE An Emerging Memory Report EMERGING MEMORIES POISED TO EXPLODE An Emerging Memory Report COUGHLIN ASSOCIATES San Jose, California July 2018 2018 Coughlin Associates 1 EMERGING MEMORIES POISED TO EXPLODE An Emerging Memory Report

More information

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from Analysis of ALU Designs Aim f Improvement in Process Efficiency and Capability from 2-26 Linnette Martinez Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 3286-2362

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Memory Class Storage. Bill Gervasi Principal Systems Architect Santa Clara, CA August

Memory Class Storage. Bill Gervasi Principal Systems Architect Santa Clara, CA August Memory Class Storage Bill Gervasi Principal Systems Architect bilge@nantero.com August 2018 1 DRAM Treadmill DDR5-3200 DDR5-3600 DDR5-4400 DDR5-4000 DDR5-4800 DDR5-5200 DDR4-1600 DDR5-5600 DDR4-1866 DDR5-6000

More information

Computing with Memory for Energy-Efficient Robust Systems

Computing with Memory for Energy-Efficient Robust Systems Computing with Memory for Energy-Efficient Robust Systems Somnath Paul Swarup Bhunia Computing with Memory for Energy-Efficient Robust Systems 123 Somnath Paul Intel Labs Hillsboro, OR, USA Swarup Bhunia

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

Computing with Spintronics: Circuits and architectures

Computing with Spintronics: Circuits and architectures Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2014 Computing with Spintronics: Circuits and architectures Rangharajan Venkatesan Purdue University Follow this

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS Parth Dhall, Ruchi Varshney Department of E&C Engineering, Moradabad Institute of Technology, Moradabad, Uttar Pradesh, India ABSTRACT In this paper,

More information

Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing for IoT Applications

Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing for IoT Applications 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop 10:45-11:05, Oct. 19, 2017 @Banatao Auditorium on the 3rd floor of Sutardja Dai Hall at the UC Berkeley campus, San Francisco,

More information

Lecture 20: CAMs, ROMs, PLAs

Lecture 20: CAMs, ROMs, PLAs Lecture 2: CAMs, ROMs, PLAs Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 2: CAMs, ROMs, and PLAs CMOS VLSI Design 4th Ed. 2 CAMs Extension of ordinary memory (e.g.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

Hybrid STT CMOS Designs for Reverse engineering Prevention

Hybrid STT CMOS Designs for Reverse engineering Prevention Hybrid STT CMOS Designs for Reverse engineering Prevention Theodore Winograd George Mason University Hassan Salmani* Howard University Hamid Mahmoodi San Francisco State University Kris Gaj George Mason

More information

Advances in Non- Vola0le Storage Technologies Tom Coughlin Coughlin Associates Ed Grochowski, Computer Memory/ Storage Consultant

Advances in Non- Vola0le Storage Technologies Tom Coughlin Coughlin Associates Ed Grochowski, Computer Memory/ Storage Consultant Advances in Non- Vola0le Storage Technologies Tom Coughlin Coughlin Associates Ed Grochowski, Computer Memory/ Storage Consultant 2015 Coughlin Associates 1 Outline The Shape of Things to Come In Search

More information

Developing a Prototyping Board for Emerging Memory

Developing a Prototyping Board for Emerging Memory Developing a Prototyping Board for Emerging Memory 2013. 10. 25 Sungjoo Yoo Embedded System Architecture Lab. POSTECH Introduction scaling problem [ITRS, 2012] Year 2012 2013 2014 2015 2016 2017 2018 2019

More information

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Yuan Xue, Patrick ronin, hengmo Yang and Jingtong Hu 01/27/2016 Outline Introduction NV-FPGA benefits and challenges Routing optimization

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Computing-in-Memory with Spintronics

Computing-in-Memory with Spintronics Computing-in-Memory with Spintronics Shubham Jain 1, Sachin Sapatnekar 2, Jian-Ping Wang 2, Kaushik Roy 1, Anand Raghunathan 1 1 School of Electrical and Computer Engineering, Purdue University 2 Department

More information

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies Driving the MRAM Revolution Kevin Conley CEO, Everspin Technologies MRAM In Datacenter Core Enables Data Persistence At Speed Enterprise SSD Network Accelerator RAID Storage Accelerator 2 MRAM Enables

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

Phase Change Memory: Replacement or Transformational

Phase Change Memory: Replacement or Transformational Phase Change Memory: Replacement or Transformational Hsiang-Lan Lung Macronix International Co., Ltd IBM/Macronix PCM Joint Project LETI 4th Workshop on Inovative Memory Technologies 06/21/2012 PCM is

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

Intel SSD Data center evolution

Intel SSD Data center evolution Intel SSD Data center evolution March 2018 1 Intel Technology Innovations Fill the Memory and Storage Gap Performance and Capacity for Every Need Intel 3D NAND Technology Lower cost & higher density Intel

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality

Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality Technology, Manufacturing and Markets of Magnetoresistive Random Access Memory (MRAM) Brad Engel, VP- Product Development & Quality Everspin Electron Spin is Forever Industry-first and leading MRAM supplier

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc.

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc. Content courtesy of Wikipedia.org David Harrison, CEO/Design Engineer for Model Sounds Inc. Common FLASH Memory SD cards + mini, micro versions serial interface slower Compact Flash - parallel interface

More information

Lecture-14 (Memory Hierarchy) CS422-Spring

Lecture-14 (Memory Hierarchy) CS422-Spring Lecture-14 (Memory Hierarchy) CS422-Spring 2018 Biswa@CSE-IITK The Ideal World Instruction Supply Pipeline (Instruction execution) Data Supply - Zero-cycle latency - Infinite capacity - Zero cost - Perfect

More information

From Silicon to Solutions: Getting the Right Memory Mix for the Application

From Silicon to Solutions: Getting the Right Memory Mix for the Application From Silicon to Solutions: Getting the Right Memory Mix for the Application Ed Doller Numonyx CTO Flash Memory Summit 2008 Legal Notices and Important Information Regarding this Presentation Numonyx may

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Memories of Tomorrow

Memories of Tomorrow Memories of Tomorrow Tom Coughlin, Coughlin Associates & 2017 Storage Jim Developer Handy, Conference. Objective Analysis & Coughlin Assoc.. Analysis All Rights Reserved. 1 REMEMBER WHEN PERSISTENT MEMORY

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates

The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates The Long & Winding Road to Persistent Memories Jim Handy, Objective Analysis Tom Coughlin, Coughlin Associates 2018 Storage Developer Conference. Objective Analysis & Coughlin Assoc.. All Rights Reserved.

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University Talk Outline Quo Vadis FinFET devices? Quo Vadis FinFET standard cells and logic circuits? Addressing the Power Wall

More information

The Zen of Nonvolatile Memories

The Zen of Nonvolatile Memories 47.3 The Zen of Nonvolatile Memories Erwin J. Prinz Freescale Semiconductor, Inc. 6501 William Cannon Drive West Austin, Texas 78735, U.S.A. (512) 895 8443 Erwin.Prinz@Freescale.com ABSTRACT Silicon technology

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections )

Lecture 8: Virtual Memory. Today: DRAM innovations, virtual memory (Sections ) Lecture 8: Virtual Memory Today: DRAM innovations, virtual memory (Sections 5.3-5.4) 1 DRAM Technology Trends Improvements in technology (smaller devices) DRAM capacities double every two years, but latency

More information

Analysts Weigh In On Persistent Memory

Analysts Weigh In On Persistent Memory Analysts Weigh In On Persistent Memory Moderator: Michael Oros, Executive Director, SNIA Today s Presenters Jim Handy and Tom Coughlin on How Persistent Memory Will Succeed Randy Kerns with An Analyst

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information