SOI based platforms for IoT optimized Applications. Director

Size: px
Start display at page:

Download "SOI based platforms for IoT optimized Applications. Director"

Transcription

1 SOI based platforms for IoT optimized Applications Carlos Mazure Executive Director Director Giorgio Cesana Executive Co-

2 Agenda SOI Consortium in a nut shell IoT opportunities and challenges FD-SOI Solutions RF-SOI Solutions More than Moore Solutions and Opportunities Takeaways 2

3 SOI Consortium at a Glance An industrial, non-profit consortium representing the complete SOI based microelectronics value chain: Digital, RF, Power, Si Photonics, Imagers, MEMS Mission: Focus on application platforms and required ecosystem Market application technology supply chain

4 IoT market: one size doesn t fit all Very fragmented Very different requirements per application Source : GSA/McKinsey report on IOT

5 Data Transmission Increase leads to an Energy Dilemma Centre for Energy Efficient Telecommunications University of Melbourne June Wireless data transmission power efficiency: Serial short reach link: best results ~ 0.5 pj/bit LTE: between 300 and 600 µj/bit *WW Elec in 2015 ~ TWh 5

6 IoT to generate a Data Deluge source IDC: 1250 Billion GB of data generated Generated data is sensor dominated Storage capacity growing 30% slower than generated data How much energy to transfer & process one YottaByte? 6

7 Energy Production vs. IoT Energy Consumption Low Power Technologies are a must for the IoT Market Development Energy WW Energy Production 2020? WW IoT Energy Consumption Time 7

8 SOI Solutions for the IoT Energy Dilemma The SOI platforms offer key solutions to face the growing IoT energy hunger. FDSOI for ultra low power computing, analog/mixed signal, RFSOI for energy efficient data transmission Power SOI for efficient power management Photonics for low energy wired data transmission MEMS SOI for efficient on chip sensor co-integration 8

9 Sensor Hub: Process, Transmit, Ultra Low Power All IoT devices are connected with computing, sensing, power management, memory capability, an energy supply source and dependent of their overall power consumption Wireless connectivity LTE, WIFI, BT APU Memory Power Mgt Sensors Networking Wireless connectivit y WIFI, BT, LTE MPU, MCU Memory Power Mgt Sensors (MEMS, radar, camera) Wireless connectivit y APU, MCU Memory Power Mgt Sensors 9

10 FD-SOI 10

11 The Ultra Low Power Ecosystem: FDSOI Adopters Public Domain Foundries IP Tools & EDA Substrates R&D 11

12 28nm FD-SOI Mass Production Platform

13 GlobalFoundries 22FDX Platform 22FDX Platform Extensions 22FDX Base Platform 4 Core Vts 2 IO 1.2/1.5/1.8v Passives SRAMs (HD, HC, LV, ULV, TP) 8T/12T libraries Software controlled Forward/Reverse body-bias Base platform PDK & IP -ulp adds logic libraries and memory compiler optimized for 0.4v logic operation -ull adds devices, libraries, and memory compilers to achieve 1pA/um leakage -uhp adds optimized BEOL stacks, 12T libraries optimized at OD, high-speed SERDES (16/28GHz), and MIM capacitor -rfa adds RF enablement, BEOL passives, and IP for BTLE, WiFi Application-optimized extensions GLOBALFOUNDRIES Confidential 14 13

14 Neutron-SER in FT/Mb FD-SOI: Radiation Robust Robustness Benefit 20 Experimental Failure-in-Time (FIT) test data SER Gain w.r.t. BULK FinFET Alpha Neutron nm FD-SOI Latchup immune not reported ECC not mandatory Power and Area saving High Latch-up Immunity ST 65nm Bulk Vendor A 45nm Bulk ST 45nm Bulk Vendor A 28nm Bulk ST 28nm Bulk ST 28nm FD-SOI Larger Integrated Memory Rad-hard designs simpler FD-SOI provides a more reliable platform for mission critical operation ISS Europe March 2016

15 ULP enabled at 0.4V Power Supply ARM Cortex A7 Implementation Initial results Freq. (MHz) 22FDX is the First Technology to demonstrate 0.4V operation capability at >500Mhz on an ARM A7 Processor % Faster + 18% Less Power FinFet like Performance (1.2Ghz) 50% faster performance and 18% lower power than 28HKMG FDX@0.4v 47% Less Power 47% lower power than 28HKMG at Iso- Frequency FDX at 0.4v Total Power (mw) 92% Less Power at 520MHz (wrt 28HKMG at 800MHz) Source: Verisilicon GLOBALFOUNDRIES 13 15

16 Example of wearable application: GPS 20mW x20 Standard GPS on the market 1mW Sony Next Gen on FD-SOI On-Chip enabled functionality (RF, logic and SRAM) operating at 0.6V, instead of 1.1V Source: EETimes, Sony Joins FDSOI Club, 30 Jan

17 Ciena at SOI Forum San Francisco, Feb 2015 Promises Reality Power Significant power reduction Analog performance Improved analog performance Reduced mismatch Improved timing matching Faster technology Cost reduction Significant reduction in design cycle 17

18 IoT Pervasive Computing Video analytics Processor for Smart Car 23 FD-SOI - SoC Camera Processing Embedded Memory FD-SOI Soft Error Rate (SER) allows for less overhead in memories for error correction 5 W SoC Power Consumption Quad Core CPU Video Analytics Processing 4 W 3 W Strict Safety Criteria met without systematic Usage of lockstep CPU operation Less use of redundant standard cell Due to lower SER Bulk 28nm Full lockstep CPU FD-SOI 28nm Full lockstep CPU FD-SOI 28nm Optimized safety architecture Power limit for mounted video analytics systems in a car ~3 Watts ISS Europe March 2016

19 Smart watch case study GlobalFoundries FD-SOI webinar June

20 NXP Two i.mx Platforms already on FD-SOI 20

21 RF-SOI 21

22 SOI Wafer supplies SOI Foundries FEM Manufacturers Chipset Makers RF-SOI: A well established Ecosystem 22

23 Million pieces RF-SOI technology in ALL smartphones SOI RF-SOI Mainstream in switch GaAs Bulk SOS Source: Navian Dec

24 RF-SOI Solution for FEM Source: GF, RF-SOI Workshop Shanghai, Sept

25 RFSOI new applications: IoT, LTE adv, mmwaves Source: Skyworks, RF-SOI Workshop Shanghai, Sept

26 More than Moore 26

27 Source: SOI Applications: an overview and some examples, Adele Hars, ASN, Shanghai, March 2015 SOI in Automotive: a long history 27

28 SOI for Power in Automotive Source: NXP, SOI Consortium Dresden Workshop, July

29 Source: SOI Applications: an overview and some examples, Adele Hars, ASN, Shanghai, March 2015 SOI in SmartPower 29

30 SOI for Si Photonics & Imagers Source: SOI Workshop,Tokyo, Jan

31 SOI for MEMS & NEMS Source: Leti-CEA, SOI Workshop, Tokyo, Jan

32 Takeaways IoT devices will cause major market disruptions and enable new applications SOI technologies & ecosystems FD-SOI: Energy efficiency and Analog/Mixed Signal cooptimized solutions for IoT RF-SOI: Energy efficiency, RF performance, cointegration for mobile FEM and IoT connevtivity Silicon Photonics: Low power Data Center Bandwidth SOI MEMS, Time of Flight, etc enable co-integration, new applications 32

33 Save the date for our next workshops! Registration at: Date Location Topics Apr 13, 2016 Stanford University California, USA 1-day Symposium on FD-SOI Sept, 2016 Oct 10-13, 2016 Shanghai, China S3S Conference San Francisco, California, USA 2-days workshop FD-SOI, RF-SOI SOI Consortium Session Oct 25-27, 2016 Semicon Europe, Grenoble, France SOI Consortium Session 33

34 Thank you! 34

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES Introducing the 22FDX 22nm FD-SOI Platform from GLOBALFOUNDRIES March 2016 Introduction Selecting a next generation technology platform for your new product is a critical decision. Product requirements

More information

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales Semiconductors : Accelerating Technologies for Intelligent Applications Zhiyong Han, General Manager, China Sales Technology Effects are Accelerating 2 Today s Explosion of Data is Amazing 70,017 Hours

More information

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications Giorgio Cesana STMicroelectronics Success Factors for new smart connected Applications

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

SOI for RF Applications and Beyond

SOI for RF Applications and Beyond SOI for RF Applications and Beyond Alfred Zhu RFIC R&D Director 上海微技术工业研究院 2015/3/30 Outline SITRI Introduction RF SOI technology RF SOI switches for tunable antenna SOI for applications beyond RF RF energy

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Full year 17 results. June 2017

Full year 17 results. June 2017 Full year 17 results June 2017 Disclaimer This document was prepared by Soitec (the Company ) on June 14, 2017 in connection with the announcement of the fiscal year end 2017 results. This document is

More information

emram: Winning the IoT and AI Applications MRAM Developer s Day August 6th Santa Clara, CA Michael Mendicino VP Product Management; Leading Edge CMOS

emram: Winning the IoT and AI Applications MRAM Developer s Day August 6th Santa Clara, CA Michael Mendicino VP Product Management; Leading Edge CMOS emram: Winning the IoT and AI Applications MRAM Developer s Day August 6th Santa Clara, CA Michael Mendicino VP Product Management; Leading Edge CMOS Knowing what will drive growth and how we meet it Growth

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

STMicroelectronics NATIXIS Payment Solutions Conference

STMicroelectronics NATIXIS Payment Solutions Conference STMicroelectronics NATIXIS Payment Solutions Conference December, 9 th 2014 Marie-France FLORENTIN MMS Group Vice President Secure MCU General Manager GP Microcontrollers General Purpose 8-bit and 32-bit

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

A backward glance and a forward view

A backward glance and a forward view Arm Limited is a subsidiary of A backward glance and a forward view Ian Thornton, Head of Investor Relations Tokyo 18 May 2018 Arm update A Backward Glance: Progress in 2017 Financials Investments / hiring

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Engineered substrates at the foundation of 5G

Engineered substrates at the foundation of 5G Engineered substrates at the foundation of 5G Thomas PILISZCZUK, EVP Strategic Marketing and Business Development, Soitec SOI Consortium, San Francisco, 1 What is 5G & how will it change our lives? 2 What

More information

Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture. Fisher Zhu, Director of China Marketing March 15, 2018

Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture. Fisher Zhu, Director of China Marketing March 15, 2018 Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture Fisher Zhu, Director of China Marketing March 15, 2018 GLOBALFOUNDRIES PROPRIETARY The GLOBALFOUNDRIES Story Building an

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Enabling Next Generation Semiconductor Product Innovations with 22FDX

Enabling Next Generation Semiconductor Product Innovations with 22FDX FDSOI SYMPOSIUM APRIL 13TH, 2016 SAN JOSE Enabling Next Generation Semiconductor Product Innovations with 22FDX Subramani Kengeri Vice President, CMOS Platforms Business Unit GLOBALFOUNDRIES - Company

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES

SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES Continued sustainable growth in Communication & Power 200-mm wafer sales

More information

.org. IoT Development Platform

.org. IoT Development Platform 1.org IoT Development Platform 2 Q&A How many ARM cores shipped in 2012? 8,700,000,000 Per Day: 23,835,616 Per Hour: 993,151 Per Minute: 16,553 Per Second: 276 2002 1+ billion 2011 billion 2020 25+ 150+

More information

Technologies Leading at Specialty. S C Chien VP of Specialty Technology and Corporate Marketing 27th May, 2015

Technologies Leading at Specialty. S C Chien VP of Specialty Technology and Corporate Marketing 27th May, 2015 Technologies Leading at Specialty S C Chien VP of Specialty Technology and Corporate Marketing 27th May, 2015 Outline Paving the Way for IoT Greener and Smarter Automotive Summary 2 IoT Redirects Foundry

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Predicting the Next Wave of Semiconductor Growth

Predicting the Next Wave of Semiconductor Growth Predicting the Next Wave of Semiconductor Growth Wally Rhines President and CEO Mentor, a Siemens Business January 16, 2018 Electronic Equipment Revenue ($Billions) New Semiconductor Applications Drive

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Archive Keynote Address

Archive Keynote Address Proceedings Archive March 15-18, 2015 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Keynote Address 2015 BiTS Workshop Image: BCFC/iStock Burn-in & Test Strategies Workshop www.bitsworkshop.org March

More information

Ultra-Low-Power Circuits for Wearables

Ultra-Low-Power Circuits for Wearables Ultra-Low-Power Circuits for Wearables Philippe Bourban 30.11.2016 Outline ON Semiconductor quick facts Power budget of some wearable devices Things to think about to make ULP circuits A few circuit examples

More information

BUYING DECISION CRITERIA WHEN DEVELOPING IOT SENSORS

BUYING DECISION CRITERIA WHEN DEVELOPING IOT SENSORS BUYING DECISION CRITERIA WHEN DEVELOPING IOT SENSORS PHILIP POULIDIS VIDEO TRANSCRIPT What is your name and what do you do? My name is Philip Poulidis and I m the VP and General Manager of Mobile and Internet

More information

Ubiquitous Location: challenges and opportunities of enabling all-day, everywhere location for all mobile platforms

Ubiquitous Location: challenges and opportunities of enabling all-day, everywhere location for all mobile platforms Ubiquitous Location: challenges and opportunities of enabling all-day, everywhere location for all mobile platforms CSNC, Nanjing May 22, 2014 Greg Turetzky 1 Copyright 2014 Intel Corporation. All rights

More information

New Business. Opportunities for Cellular IoT. Loic Bonvarlet Director of Marketing Secure Identity Arm. Copyright 2018 Arm, All rights reserved.

New Business. Opportunities for Cellular IoT. Loic Bonvarlet Director of Marketing Secure Identity Arm. Copyright 2018 Arm, All rights reserved. New Business Opportunities for Cellular IoT Loic Bonvarlet Director of Marketing Secure Identity Arm Key Takeaways Cellular IoT market worth $9.65 Billion by 2025 (CAGR: 21.4%)* New SIM technologies unleash

More information

Wearable Technologies and the IoT. David Lamb Market Development Manager, North Europe STMicroelectronics

Wearable Technologies and the IoT. David Lamb Market Development Manager, North Europe STMicroelectronics Wearable Technologies and the IoT David Lamb Market Development Manager, North Europe STMicroelectronics Who We Are 2 A global semiconductor leader The largest European semiconductor company 2013 revenues

More information

$2.65B. Microcontrollers & Digital ICs Group. MDG: Group at a Glance Key Financial Data by Sub-Group +25% -7% +34% +3% 32% of ST 2017 revenues $0.

$2.65B. Microcontrollers & Digital ICs Group. MDG: Group at a Glance Key Financial Data by Sub-Group +25% -7% +34% +3% 32% of ST 2017 revenues $0. Microcontrollers & Digital ICs Group Claude Dardanne President Microcontrollers and Digital ICs Group 32% of ST 2017 revenues MDG: Group at a Glance Key Financial Data by Sub-Group 2 Group 2017 Revenue

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

Digitization of non-volatility Jean-Pascal BOST, CEO

Digitization of non-volatility Jean-Pascal BOST, CEO D a t a - e f f i c i e n t w o r l d Digitization of non-volatility Jean-Pascal BOST, CEO www.evaderis.com Lab spin-off Incorporated 2014 17 people IP Fabless Non volatile Semiconductor IP emram erram

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

Manufacturing in the More-than-Moore era

Manufacturing in the More-than-Moore era Manufacturing in the More-than-Moore era Rutger Wijburg SVP NXP Semiconductors 5 th SEMI Brussels Forum 24 May, 2011 NXP Semiconductors is an HPMS leader NXP Semiconductors N.V. (NASDAQ: NXPI) provides

More information

Certified Wireless USB from the USB-IF Jeff Ravencraft

Certified Wireless USB from the USB-IF Jeff Ravencraft Certified Wireless USB from the USB-IF Jeff Ravencraft USB-IF President & Chairman Wireless USB Promoter Group Chairman Intel Corporation Key Messages The WiMedia UWB Ecosystem is delivering on its promise

More information

Fully Depleted SOI Technologies. Bich-Yen Nguyen

Fully Depleted SOI Technologies. Bich-Yen Nguyen Fully Depleted SOI Technologies Bich-Yen Nguyen Acknowledgements SOITEC Team: Jean-Michel Bidault Nicolas Daval Frederic Allibert Ludovic Ecarnot Konstantin Bourdelle Walter Schwarzenbach Mariam Sadaka

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

IoT as Enabling Technology for Smart Cities Panel PANEL IEEE RTSI

IoT as Enabling Technology for Smart Cities Panel PANEL IEEE RTSI IoT as Enabling Technology for Smart Cities Panel PANEL SESSION @ IEEE RTSI Torino, September 17, 2015, 8.30-10.00 Giuliana Gangemi, STMicroelectronics, giuliana.gangemi@st.com IoT Ecosystem 2 Sensors

More information

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics The FD-SOI technology for very high-speed and energy efficient SoCs Giorgio Cesana STMicroelectronics 2 FD-SOI Technology height Bulk Transistor Reaching Limits at 20nm 3 FD-SOI = 2D Limited body bias

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

What s 5G? Dr Dean Economou Chief Transport Strategist, Telstra

What s 5G? Dr Dean Economou Chief Transport Strategist, Telstra What s 5G? Dr Dean Economou Chief Transport Strategist, Telstra Spoiler alert Page 2 5G key features Higher speeds for more users at once More consistent and reliable connections Lower delay (latency)

More information

STMicroelectronics Payment Solutions. December 6 th 2012

STMicroelectronics Payment Solutions. December 6 th 2012 STMicroelectronics Payment Solutions December 6 th 2012 GP Microcontrollers General Purpose 8-bit and 32-bit MCUs Automotive 8-bit MCUs Microcontrollers in MMS Group 2 Memories Serial EEPROM RF memories

More information

New Technologies for UAV/UGV

New Technologies for UAV/UGV Qualcomm Research New Technologies for UAV/UGV Charles Bergan VP, Engineering Qualcomm Research Qualcomm Technologies, Inc. 2013-2016 QUALCOMM Incorporated and/or its subsidiaries. All rights reserved

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

Small is the New Big: Data Analytics on the Edge

Small is the New Big: Data Analytics on the Edge Small is the New Big: Data Analytics on the Edge An overview of processors and algorithms for deep learning techniques on the edge Dr. Abhay Samant VP Engineering, Hiller Measurements Adjunct Faculty,

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Dialog Semiconductor. Capital Markets Day 16 September 2015, London. connected

Dialog Semiconductor. Capital Markets Day 16 September 2015, London. connected Dialog Semiconductor Capital Markets Day 16 September 2015, London personal portable connected SmartBond Bluetooth Smart Sean McGrath SVP Connectivity, Automotive and Industrial personal portable connected

More information

Accelerating the route to secure scalable IoT

Accelerating the route to secure scalable IoT Accelerating the route to secure scalable IoT Craig Tou Technical Marketing Manager Wireless Business Unit ARM Tech Forum Korea June 28 th 2017 ARM is everywhere 100Bn ARM-based chips shipped to date >

More information

Embedded segment market update

Embedded segment market update Embedded segment market update China Technical Seminar Series July 2015 Richard York VP Embedded Marketing 1 Agenda The broad embedded market The right device for each and every market A survey of some

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

Microcontrollers. Claude Dardanne Executive Vice President, General Manager, Microcontrollers, Memory & Secure MCU Group.

Microcontrollers. Claude Dardanne Executive Vice President, General Manager, Microcontrollers, Memory & Secure MCU Group. Microcontrollers Claude Dardanne Executive Vice President, General Manager, Microcontrollers, Memory & Secure MCU Group Francois Guibert Executive Vice President, President, Greater China and South Asia

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Second Workshop of India-EU Collaboration on Standardization for Select Technologies

Second Workshop of India-EU Collaboration on Standardization for Select Technologies Second Workshop of India-EU Collaboration on Standardization for Select Technologies TSDSI s Vision & Roadmap for 5G Nov 05, 2015 Vinosh James Lead, Technical Standards for Qualcomm, and Chair, 5G WG of

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

GTC 2013 March San Jose, CA The Smartest People. The Best Ideas. The Biggest Opportunities. Opportunities for Participation:

GTC 2013 March San Jose, CA The Smartest People. The Best Ideas. The Biggest Opportunities. Opportunities for Participation: GTC 2013 March 18-21 San Jose, CA The Smartest People. The Best Ideas. The Biggest Opportunities. Opportunities for Participation: SPEAK - Showcase your work among the elite of graphics computing - Call

More information

IoT A Perfect Business Enabler for 200mm Fabs. Bill Chuang Marketing Director UMC Sep. 3, 2014

IoT A Perfect Business Enabler for 200mm Fabs. Bill Chuang Marketing Director UMC Sep. 3, 2014 IoT A Perfect Business Enabler for 200mm Fabs Bill Chuang Marketing Director UMC Sep. 3, 2014 Outline Market Observation Supply Chain Evolution Fragmented Market & End-Applications Industry Challenge Solutions

More information

Takashi Shono, Ph.D. Intel 5G Tokyo Bay Summit 2017

Takashi Shono, Ph.D. Intel 5G Tokyo Bay Summit 2017 Takashi Shono, Ph.D. Intel Corporation @ 5G Tokyo Bay Summit 2017 Legal disclaimers Intel technologies features and benefits depend on system configuration and may require enabled hardware, software or

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO Презентация на SEMICON России 2014 Company Introduction Jens Benndorf, Managing Director, COO 14.05.2012-15.05.2014 Dream Chip Technologies is...... an independent fabless semiconductor Chip and IP Design

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2)

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2) Integrated mobile to challenge standalone application, says Petrov Group (part 2) Contributed by the Petrov Group -- Wednesday 16 March 2011] http://www.digitimes.com/print/a20110315vl203.html Recently

More information

Mobile Industry "Need for Speed"

Mobile Industry Need for Speed Mobile Industry "Need for Speed" Richard Wietfeldt, Ph.D. Qualcomm - Mobile Forum 2013 Copyright 2013 Qualcomm Technologies, Inc. "Need for Speed" Speed is often considered a sign of progress or innovation.

More information

SOITEC REPORTS FY 17 THIRD QUARTER REVENUES

SOITEC REPORTS FY 17 THIRD QUARTER REVENUES SOITEC REPORTS FY 17 THIRD QUARTER REVENUES Q3 17 revenues reached 63.1m, up 5% at constant ex rates compared with Q3 16 Continued growth in Communication & Power 200-mm wafer sales 300-mm wafer sales

More information

Design of Advanced Applications Processors in FD-SOI 高端应用处理器设计中的 FDSOI 使用

Design of Advanced Applications Processors in FD-SOI 高端应用处理器设计中的 FDSOI 使用 Design of Advanced Applications Processors in FD-SOI 高端应用处理器设计中的 FDSOI 使用 MAGGIE QIU ( 仇雨菁 ) DIRECTOR OF ENGINEERING ( 恩智浦微处理器事业部研发总监, 恩智浦强芯总经理 ) NXP SEMICONDUCTORS SEP 21 ST, 2017 UNDER EMBARGO UNTIL

More information

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Status of V5QV Many programs have built hardware and integrated into Spacecrafts awaiting for

More information

Fusing Sensors into Mobile Operating Systems & Innovative Use Cases

Fusing Sensors into Mobile Operating Systems & Innovative Use Cases Fusing Sensors into Mobile Operating Systems & Innovative Use Cases May 23, 2012 Tristan Joo (tristanjoo@wca.org) Board Director & Co-Chair of Mobile SIG Wireless Communications Alliance, Independent Executive

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

Application Strategic Focus

Application Strategic Focus Application Strategic Focus Georges Penalver Chief Strategy Officer 2 ST SAM Evolution by Application 3 % of ST SAM 2016 Contribution to ST SAM Growth (2016-2019) Wired Comm. 11% Wireless Comm. 13% Wireless

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

UFS 3.0 Controller Design Considerations

UFS 3.0 Controller Design Considerations UFS 3.0 Controller Design Considerations JEDEC Mobile & IOT Forum Copyright 2018 Phison Electronics Corp. Keith Tsai Mobile Storage Evolution emmc Latest widely adopted standard before UFS 2.x Limited

More information

Higher compression efficiency, exceptional image quality, faster encoding time and lower costs

Higher compression efficiency, exceptional image quality, faster encoding time and lower costs Table of Content Introduction... 2 RealMedia HD fast facts... 2 Key benefits of RealMedia HD... 2 1. RealMedia HD... 4 1.1 The evolution of video codecs... 4 1.2 RealMedia Variable Bitrate (RMVB) global

More information

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Scale system performance faster than Moore s Law will currently allow K. Charles Janac MSoC Conference 2016 Nara, Japan, July 13, 2016

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Andrew Cole VP, Silicon Creations Chris Clee Product Marketing Manager, Calibre Parasitic Extraction Products Agenda:

More information

MQTT Protocol Support. Cloud Ready Gateway. Modular Architecture

MQTT Protocol Support. Cloud Ready Gateway. Modular Architecture LoRaWAN Gateway with 8 Channels MODBUS / PROFIBUS Support MQTT Protocol Support Cloud Ready Gateway Modular Architecture Gateway with a Modular Architecture With the ever increasing topologies in the IoT

More information

ARM processors driving automotive innovation

ARM processors driving automotive innovation ARM processors driving automotive innovation Chris Turner Director of advanced technology marketing, CPU group ARM tech forums, Seoul and Taipei June/July 2016 The ultimate intelligent connected device

More information

Developing a Common Language for Communication between Disparate IoT Devices and Applications across Various Wireless Technologies

Developing a Common Language for Communication between Disparate IoT Devices and Applications across Various Wireless Technologies Developing a Common Language for Communication between Disparate IoT Devices and Applications across Various Wireless Technologies Phil Beecher - President, Wi-Sun Alliance Matthew Michael - Sr. Director

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

INTERNET OF BIG THINGS : SMART INFRASTRUCTURES FOR IMPROVED MOBILITY. Sarah WELDON

INTERNET OF BIG THINGS : SMART INFRASTRUCTURES FOR IMPROVED MOBILITY. Sarah WELDON INTERNET OF BIG THINGS : SMART INFRASTRUCTURES FOR IMPROVED MOBILITY Sarah WELDON sarah.weldon@cea.fr LETI : ONE OF CEA TECH'S 3 RESEARCH INSTITUTES 16,000 CEA employees worldwide 10 % PhDs and post-docs

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1 90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra 2015 Synopsys, Inc. All rights reserved. 1 Process Requirements are Specific to Customer/Market Need Wearable / IoT

More information