Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Size: px
Start display at page:

Download "Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017"

Transcription

1 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017

2 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT will include a large mixed-signal component, with complex packaging Cadence Design Systems, Inc. All rights reserved.

3 Cadence Implementation Leadership Technology innovation: fast, smart, and optimized Implementation Fabric Common Engines, UI, and Flows Logical Conformal Electrical Timing, Power PPA Engines Optimization Physical Quantus and DRC Genus Modus FRONT END Innovus PLACE and ROUTE Quantus Tempus Voltus ELECTRICAL SIGNOFF Pegasus DESIGN RULE CHECK Best-in-class core tools Massively parallel for speed and capacity Best PPA and intelligent flows Rapid convergence and ECO Common foundation engines Differentiated productivity Fully integrated mixed signal Cadence Design Systems, Inc. All rights reserved.

4 Cadence Custom IC and PCB Design Leadership Enabling smart product design from start to finish CHARACTERIZATION Liberate Variety CHIP Virtuoso Spectre PACKAGE Allegro Virtuoso BOARD Allegro PSpice OrCAD ANALYSIS Sigrity Market leadership for over 25 years Mixed signal Analog/RF Advanced node (16nm to 5nm) Photonics support Rigid-flex board enablement Differentiated and comprehensive support for IoT, automotive, and aero/defense designs System Design Enablement via an Extensive Ecosystem Cadence Design Systems, Inc. All rights reserved. Advanced packaging In excess of 70 different ecosystem partners

5 Cadence Verification Suite Technology innovation leadership: fast, smart, and optimized Verification Fabric Uniform multi-engine verification VIP VERIFICATION IP vmanager MET RICS Indago DEBUG Perspec SW-DRIVEN TEST JasperGold FORMAL and STATIC Xcelium SIMULATION Palladium Z1 EMULATION Protium S1 FPGA PROTOTYPE Fast: Best-in-class engines Total throughput Metric-driven signoff Application optimized Cloud-centric architecture Smart: Flow-driven engine integrations Optimized: Comprehensive solutions Cadence Design Systems, Inc. All rights reserved.

6 Successful Foundry Node Requires an Ecosystem Strong collaboration history tool enablement and design flows 2015 Digital and Signoff Tools 22FDX enabled 2016 V0.5 22FDX tapeout supported EAD in 22FDX at CDNLive 2017 Phase III of 22FDX reference flow Digital reference flow for 28FDS presented at CDNLive Voltus 28FDS certification presented at CDNLive Cadence Design Systems, Inc. All rights reserved.

7 Samsung-Cadence Collaboration on 28FDSOI Cadence Custom/Analog, Digital and Signoff Tools Achieve Certification on Samsung 28FDS Process Technology Reference flow enables system and semiconductor companies to accelerate delivery of IoT and mixed-signal designs on Samsung s process SAN JOSE, Calif., May 24, 2017 Cadence Design Systems, Inc. (NASDAQ: CDNS) today announced its custom/analog tools and fullflow digital and signoff tools have achieved certification for the process design kit (PDK) and foundation library for the Samsung Electronics 28nm fully depleted silicon-on-insulator (FDS), also known as FD-SOI, process technology. The Cadence 28nm FDS reference flow has been certified by Samsung using a quad-core design with the ARM Cortex -A53 processor covering forward body bias (FBB) with a bias controller, a power-gating scheme, UPF2.1 compliance, multi-bit FF optimization, SCAN/PMBIST/ATPG and SI/EM-aware design Cadence Design Systems, Inc. All rights reserved.

8 Custom and Analog Design Digital Implementation and Signoff (RTL to GDS) SOI Advanced-Node EDA Enablement 28FDS Design Capabilities Logic Simulation (Incisive) Synthesis (Genus) Power Analysis (Joules) Test (Modus) Place and Route (Innovus) Timing Analysis (Tempus) Extraction (Quantus) EM/IR Analysis (Voltus) Physical Verification (PVS) Litho Physical Analysis (DFM/LPA) Litho Electrical Analysis (DFM/LEA) Chemical Mechanical Polishing (DFM/CMP) Schematic Editing (Virtuoso VSE) Analog Design Environment (Virtuoso ADE) Layout System (Virtuoso VLS) Circuit Simulation (Spectre APS/XLS) Electrically Aware Design (EAD) EM/IR Analysis (Voltus-Fi) 28FDS Samsung 28FDS Cadence Digital Reference Flow presented at CDNLive Silicon Valley 2017: Fast Ramp to Reap 28FDSOI Benefits Certified Cadence Design Systems, Inc. All rights reserved. Enabled

9 Custom and Analog Design Digital Implementation and Signoff (RTL to GDS) SOI Advanced-Node EDA Enablement 22FDX Design Capabilities 22FDX Logic Simulation (Incisive) Synthesis (Genus) Power Analysis (Joules) Test (Modus) Place and Route (Innovus) Timing Analysis (Tempus) Extraction (Quantus) EM/IR Analysis (Voltus) Physical Verification (PVS) Litho Physical Analysis (DFM/LPA) Litho Electrical Analysis (DFM/LEA) Chemical Mechanical Polishing (DFM/CMP) Schematic Editing (Virtuoso VSE) Analog Design Environment (Virtuoso ADE) Layout System (Virtuoso VLS) Circuit Simulation (Spectre APS/XLS) Electrically Aware Design (EAD) EM/IR Analysis (Voltus-Fi) 12FDX: Cadence and GLOBALFOUNDRIES have started collaborating to support 12FDX node Certified Cadence Design Systems, Inc. All rights reserved. Enabled This slide contains forward-looking statements about Cadence business or products. Actual results may differ materially from the information presented here.

10 Enabling FD-SOI Process Genus Design Exploration Released in December 2016 Innovus Body-Bias Interpolation To be Released by end of May 2017 Tempus Body-Bias Interpolation To be Released by end of May 2017 Voltus Body-Bias Interpolation To be Released by November Cadence Design Systems, Inc. All rights reserved. This slide contains forward-looking statements about Cadence business or products. Actual results may differ materially from the information presented here.

11 project_setup.tcl Block Timing Model Block LEF *IEEE 1801 Power Intent Technology Files Std Cell Libraries RTL SDC DFT Files Block-Level Flow Top-Level Flow *Conformal Low Power Checker Conformal Equivalence Checker Logic Synthesis DFT Insertion Genus Synthesis Solution Quantus QRC Extraction Solution Tempus Timing Signoff Solution post_syn and post_pnr LEC/LP Check Write Abstract LEF Extract Timing Model Timing and SI Signoff Modus ATPG Digital Implementation and In-Design Signoff *Assemble Design Parasitic RC Extraction Power Integrity EMIR Signoff Best-in-Class PPA Optimization Modus Test Solution Innovus Implementation System Physical Verification System Litho Physical Analyzer Voltus IC Power Integrity Solution Cadence Design Systems, Inc. All rights reserved.

12 Cadence Tensilica Processor IP For automotive applications Digital radio and voice command ISO Ready / ISO 9001 Certified Multiple rounds of experience delivering DIAs to automotive licensees Embedded signal processing Shipping in volume; >12 HiFi licensees in automotive Multi-microphone voice command, and noise reduction Multi-channel audio decode and advanced post-processing Acoustic noise cancellation Regenerative power management Battery management Engine control Fusion DSPs introduced 2015, 2016, and 2017 Digital Radio receiver: HD Radio, DAB, DAB+, DRM, T-DMB Cabin environmental control Tensilica HiFi DSPs Tensilica Fusion DSPs ADAS vision processing Telematics connectivity / Radar Traffic sign detection / recognition Advanced Driver Assistance Systems Lane-departure warning Front-collision warning Emergency Services Peer-to-peer smart car networking for intelligent vehicle highway control GPS Shipping in 1 st V2V p from NXP; Wins in future Radar ADAS systems Multiple wins in nextgen ADAS systems Automatic high beam Tensilica Vision DSPs Built-in LTE Modem and Wi-Fi Access Point Tensilica ConnX DSPs Radar/Lidar Cadence Design Systems, Inc. All rights reserved.

13 Cadence Custom and Digital tools Ready for FD-SOI Multi-year collaboration with foundry FD-SOI leaders PDK enablement, tool readiness, design flows in place Enables designers to take optimal advantage of FD-SOI features such as bodybiasing Cadence Design Systems, Inc. All rights reserved.

14 Thank You Cadence Design Systems, Inc. All rights reserved.

15 2017 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.

16 Backup Cadence Design Systems, Inc. All rights reserved.

17 GF 22FDSOI Digital Reference Flow Overview The CDNS-GF 22FDSOI Digital Reference Flow is a RTL2GDS2 solution including Synthesis (Genus), Place and Route (Innovus), Parasitic Extraction (Quantus), Static Timing Analysis (Tempus), Power Analysis (Voltus), Formal Verification (Conformal), Test Solution (Modus), Physical Verification (PVS) and DFM (MVS) tools. The flow covers block-level flow and hierarchical multi-bias domain flow. The hierarchical reference flow (P5) uses a bias generator and a processor monitor to generate bias voltage for three bias domains. The CPU, timer block and top-level logic are physically placed in three different domains separated by boundary cells. Global physical cell placement and bias power network routing are performed during floor planning. The block-level reference flow (P2/P4) uses OR1200 RTL with Invecas Standard Cells for official digital reference flow release, with industry-standard CPU RTL is also used for PPA benchmark in P2. The reference flows include both all-in-one make file for RTL-to- GDSII and standalone make file for each step Cadence Design Systems, Inc. All rights reserved.

18 Key Features at Phased Releases P2 first released on Aug 14, 2015 Basic digital reference flow including RC, Innovus, Quantus, Tempus Block level with only FBB cells, external back bias voltage Bias routing to WellTAP cell from external power pins, one BIAS voltage pair (NW, PW), with different BIAS voltages P4 first released on Nov 6, low-power flow with 3 power domains Genus, Conformal, Incisive, and ET are also added to P2 Implementation of Mixed Vt due to various bias conditions Bias routing to WellTAP cell from external power pins, multiple BIAS voltage pairs, with predefined voltage level per domain P5 first released on April 30, 2016 Bottom-up hierarchical low power flow with body bias IP to generate body bias voltage Bias routing to WellTAP cells, multiple BIAS voltage pairs, with different BIAS voltages for different domains Cadence Design Systems, Inc. All rights reserved.

19 2017 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.

ISO Tool Confidence Level (TCL)

ISO Tool Confidence Level (TCL) ISO 26262 Tool Confidence Level (TCL) John Brennan, Product Management Director, SVG Steve Lewis, Product Management Group Director, CPG Rob Knoth, Product Management Director, DSG Randal Childers, Director,

More information

Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015

Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015 Innovation in System Design Enablement Cadence Design Systems, Inc. Graser Technology Conference October 2015 Social trends driving multiple layers of technology Datacenter Office Cloud Gateway Device

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

Accelerate Your IoT Design. Tony Qian Design Engineering Group Director, DDR Design & Reuse IP SoC Shanghai September 2, 2016

Accelerate Your IoT Design. Tony Qian Design Engineering Group Director, DDR Design & Reuse IP SoC Shanghai September 2, 2016 Accelerate Your IoT Design Tony Qian Design Engineering Group Director, DDR Design & Reuse IP So Shanghai September 2, 2016 The Market hallenge Diversity in IoT IoT ranges from Simple to omplex Wearables

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales Semiconductors : Accelerating Technologies for Intelligent Applications Zhiyong Han, General Manager, China Sales Technology Effects are Accelerating 2 Today s Explosion of Data is Amazing 70,017 Hours

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow

Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow Shawn Hung Sr. Engineering Manager, Arm Jerry Chen Sr. AE Manager, Cadence Arm Tech Symposia 2017, Taipei

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES Introducing the 22FDX 22nm FD-SOI Platform from GLOBALFOUNDRIES March 2016 Introduction Selecting a next generation technology platform for your new product is a critical decision. Product requirements

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

CADENCE DESIGN SYSTEMS, INC. Fourth Quarter 2017 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Fourth Quarter 2017 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Fourth Quarter 2017 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

SDIP ASIC Update Tensilica Day Hannover Martin Zeller (DCT)

SDIP ASIC Update Tensilica Day Hannover Martin Zeller (DCT) SDIP ASIC Update Tensilica Day Hannover 2018 Martin Zeller (DCT) DCT Company Profile Dream Chip Technologies Positioned as a Fabless Microelectronic Engineering Company for medium to large SoC designs

More information

Application Strategic Focus

Application Strategic Focus Application Strategic Focus Georges Penalver Chief Strategy Officer 2 ST SAM Evolution by Application 3 % of ST SAM 2016 Contribution to ST SAM Growth (2016-2019) Wired Comm. 11% Wireless Comm. 13% Wireless

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

SOI based platforms for IoT optimized Applications. Director

SOI based platforms for IoT optimized Applications. Director SOI based platforms for IoT optimized Applications Carlos Mazure Executive Director Director Giorgio Cesana Executive Co- Agenda SOI Consortium in a nut shell IoT opportunities and challenges FD-SOI Solutions

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview MARCH 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee 2017 Arm Limited How to design an IoT SoC and get Arm CPU IP for no upfront license fee An enhanced Arm DesignStart Building on a strong foundation Successfully used by 1000s of designers, researchers

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Silicon Labs Corporate Overview

Silicon Labs Corporate Overview Silicon Labs Corporate Overview APRIL 2018 The leader in silicon, software and solutions for a smarter, more connected world. A World-Class Design Culture In 1996, a visionary group of engineers pioneered

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development Enabling Safe, Secure, Smarter Cars from Silicon to Software Jeff Hutton Synopsys Automotive Business Development Safe Secure Smarter Systemic Complexity ADAS Autonomous V2X Infotainment Safe Secure Smarter

More information

The Changing Face of Edge Compute

The Changing Face of Edge Compute The Changing Face of Edge Compute 2018 Arm Limited Alvin Yang Nov 2018 Market trends acceleration of technology deployment 26 years 4 years 100 billion chips shipped 100 billion chips shipped 1 Trillion

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

A Test-Centric Approach to ASIC Development for MEMS

A Test-Centric Approach to ASIC Development for MEMS A Test-Centric Approach to ASIC Development for MEMS MÅRTEN VRÅNES DIRECTOR, CONSULTING SERVICES CONSULTING SERVICES GROUP MEMS JOURNAL, INC. C: 707.583.3711 MVRAANES@MEMSJOURNAL.COM 4 th Annual MTR Conference

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Dr.-Ing Jens Benndorf (DCT) Gregor Schewior (DCT) A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Tensilica Day 2017 16th

More information

Drive on: Where are the flying automobiles?

Drive on: Where are the flying automobiles? Drive on: Where are the flying automobiles? Tom Beckley Senior VP & GM, Custom IC and PCB Group MATLAB EXPO 2017; San Jose, CA November 7, 2017 Early 1960s The Jetsons predicted the future American television

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence Karthik Krishnamoorthy - DFM Design Enablement 1 Agenda GLOBALFOUNDRIES update GLOBALFOUNDRIES reference flow In-Design

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Artificial Intelligence Fifth wave Data-driven computing era IoT Generating data 5G 5G Transporting

More information

ARM processors driving automotive innovation

ARM processors driving automotive innovation ARM processors driving automotive innovation Chris Turner Director of advanced technology marketing, CPU group ARM tech forums, Seoul and Taipei June/July 2016 The ultimate intelligent connected device

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Electronic Design Automation

Electronic Design Automation Electronic Design Automation Everything you need to know but were afraid to ask Tuesday 4 th September ECT Forum Wesley Ryder Technical Director 2 Market Drivers On time but development costs 50% over

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Wireless. Gilles Delfassy. Senior Vice President Wireless Terminals Business Unit

Wireless. Gilles Delfassy. Senior Vice President Wireless Terminals Business Unit Wireless Gilles Delfassy Senior Vice President Wireless Terminals Business Unit TI Wireless Revenue Growth Outpaces Industry 4 TI Wireless Revenue 40% 1000 Mobile Phone Shipments 3 32% 800 29% 45% $B 2

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

SoCtronics Corporate Overview Industry s 1st Design FoundryTM

SoCtronics Corporate Overview Industry s 1st Design FoundryTM SoCtronics Corporate Overview Industry s 1st Design FoundryTM Headquarters Hyderabad, India Design Center Santa Clara, California Company Profile One-stop SoC design service company Operating since 2003

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Analyzing the Disruptive Impact of a Silicon Compiler

Analyzing the Disruptive Impact of a Silicon Compiler THE ELECTRONICS RESURGENCE INITIATIVE Analyzing the Disruptive Impact of a Silicon Compiler Andreas Olofsson 1947 Source: Wikipedia, Computer Museum 2017 Source: AMD Defense Advanced Research Project Agency

More information

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications Giorgio Cesana STMicroelectronics Success Factors for new smart connected Applications

More information

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO

Презентация на SEMICON России 2014 Company Introduction. Jens Benndorf, Managing Director, COO Презентация на SEMICON России 2014 Company Introduction Jens Benndorf, Managing Director, COO 14.05.2012-15.05.2014 Dream Chip Technologies is...... an independent fabless semiconductor Chip and IP Design

More information

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series

SABRE for Automotive Infotainment Quick Start Guide. Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series SABRE for Automotive Infotainment Quick Start Guide Smart Application Blueprint for Rapid Engineering Based on the i.mx 6 Series About SABRE Platform for Automotive Infotainment Based on the the i.mx 6

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Professional Service Partners. Advanced Signal Processing Products

Professional Service Partners. Advanced Signal Processing Products Professional Service Partners Advanced Signal Processing Products Cirrus Logic Consumer and Mobile Audio Solutions World-Class Audio Leadership Cirrus Logic is the leading supplier of hardware and software

More information

NVIDIA AUTOMOTIVE. Driving Innovation

NVIDIA AUTOMOTIVE. Driving Innovation NVIDIA AUTOMOTIVE Driving Innovation Today, NVIDIA processors are found in more than 4,500,000 cars and the number is growing rapidly. Every day, exciting innovations are helping to redefine how cars look,

More information

Digitization of non-volatility Jean-Pascal BOST, CEO

Digitization of non-volatility Jean-Pascal BOST, CEO D a t a - e f f i c i e n t w o r l d Digitization of non-volatility Jean-Pascal BOST, CEO www.evaderis.com Lab spin-off Incorporated 2014 17 people IP Fabless Non volatile Semiconductor IP emram erram

More information

Cadence Design Systems

Cadence Design Systems Cadence Design Systems Analog Mixed-Signal Foundation Flow (AMSFF) Cadence 45nm Generic Standard Cells User Guide 2014 April Cadence Design Systems Page 1 of 32 CONFIDENTIAL NOTICE This document contains

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Signal Processing IP for a Smarter, Connected World. May 2017

Signal Processing IP for a Smarter, Connected World. May 2017 Signal Processing IP for a Smarter, Connected World May 2017 Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties, as well as assumptions

More information