WINTER 14 EXAMINATION Subject Code: Model Answer Page No: / N

Size: px
Start display at page:

Download "WINTER 14 EXAMINATION Subject Code: Model Answer Page No: / N"

Transcription

1 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate may vary but the examiner may try to assess the understanding level of the candidate. 3) The language errors such as grammatical, spelling errors should not be given more Importance (Not applicable for subject English and Communication Skills. 4) While assessing figures, examiner may give credit for principal components indicated in the figure. The figures drawn by candidate and model answer may vary. The examiner may give credit for any equivalent figure drawn. 5) Credits may be given step wise for numerical problems. In some cases, the assumed constant values may vary and there may be some difference in the candidate s answers and model answer. 6) In case of some questions credit may be given by judgement on part of examiner of relevant answer based on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept. 1. (A) Attempt any THREE of the following : 12 Marks (a) Give the IC classification according to (i) (ii) (iii) (iv) Circuit technology Design style Design type Circuit size (If answer reflects any classification marks to be awarded, 1 M for Each classification) i. Small sca1e integration (SSI) < 10 components ii. Medium Scale integration (MSI) < 100 components 1

2 iii. Large Scale integration (LSI)> 100 components iv. Very Large Scale Integration (VLSI)> 1000 components OR (i) Circuit technology Unipolar /Bipolar (v) Design style Monolithic/Hybrid (vi) Design type Bipolar :Saturated/Non Saturated Unipolar :CMOS/PMOS/NMOS (vii) Circuit size Small sca1e integration (SSI) < 10 components Medium Scale integration (MSI) < 100 components Large Scale integration (LSI)> 100 components Very Large Scale Integration (VLSI)> 1000 components b. Write the Purpose and material used for following process : (any two) Ans) (1 M Each) Process Purpose Material OXIDATION The oxidation is required for fabrication for isolating the different layers of conducting materials and to prevent unwanted conduction path. OR IC relies on the properties of oxides of silicon i.e. silicon dioxide. Hence oxidation is necessary to form Silicon dioxide(sio2) Silicon 2

3 DIFFUSION The process of junction formation, that is transition from p to n type or vice versa. P type : Borane(B 2 H 6 ) Diffusion of impurity atoms into silicon crystal takes place N type: Phosphine(PH 3) only at elevated temperature, typically 900 to 1100 C. ION IMPLANTATION Is Used to produce a shallow surface region of dopant atoms deposited into a silicon wafer. It is an alternative process to diffusion. Dopant atoms are vaporized, accelerated and directed at silicon substrate. P type : Borane(B 2 H 6 ) N type: Phosphine(PH 3) c. Draw the CMOS inverter circuit diagram and layout ( Diagram 4 Marks) Note:- The layout of CMOS as follows Figure: CMOS inverter circuit diagram 3

4 (d) Write the VHDL code for 4-bit added without component or instantiation. (ENTITY 1 M, Architecture 3 M) LIBRARY IEEE; Use ieee.std_logic_1164.all; Entity full_add_4 is Port(a,b:in std logic _vector(3 downto 0); s:out std_logic_vector (3 downto 0); cout:out std_logic; cin:in std_logic); End full_add_4; Architecture dataflow of full_add_4 is Signal y:std_logic_vector(2 downto 0); BEGIN S(0)<= A(0) XOR B(0) XOR Cin; Y(0)<= (A(0) AND B(0)) OR (A(0) AND Cin) OR (B(0) AND Cin) S(1)<= A(1) XOR B(1) XOR Y(0); Y(1)<= (A(1) AND B(1)) OR (A(1) AND Y(0)) OR (Y(0) AND B(1)); S(2)<= A(2) XOR B(2) XOR Y(1); Y(2)<= (A(2) AND B(2)) OR (A(2) AND Y(1)) OR (Y(1) AND B(2)); S(3)<= A(3) XOR B(3) XOR Y(2); Cout<=(A(3) AND B(3)) OR (A(3) AND Y(2)) OR (Y(2) AND B(3)); End dataflow; 4

5 (B) Attempt any ONE of the following : (a)write the possible values of y For (i) y :OUT BIT; (ii) y :OUT std-logic; (iii)y :OUT integer range 0 to 7 i. 0/1 ( 1Mark) ii. 0 / 1 / U / X / Z / W / L / H / - (Z : high impedance,w; weak unknown,l: weak low H: weak high,-; don care ( any four 4 mark) iii. 0-7 ( 1mark) (b) Explain any four characteristics of any one FPGA. Write one FPGA and CPLD chip name of Xilinx and Atmel. (4 marks and for any valid name of Xilinx: 1 mark, name of Atmel 1 mark) Very high density Generally lower power SRAM devices are reprogrammable ,000 gates Application dependent Very high shift frequencies SRAM-based devices and some EEPROM-based devices All anti-fuse-based devices Very low static Dynamic consumption is application dependent, 0.1-2W typical Field programmable gate array 5

6 Gates more than 10,000 Complex architecture More resister Volatile higher I/O count Flexible routing. Name of FPGA : Xilinx XC 4000 family ATMEL FPGA : AT40K05/K10/K20/K40 Atmel CPLD : CPLD ATF 750,ATF 2500C ATF 15 XX Xilinx : CPLD XC9500 series Q.2 Attempt any FOUR of the following: 6 Marks a) Define- (i) Synthesis (ii) Functional simulator (iii) Timing simulator and (iv) Chip configuration or programming (1 M Each) (i) Synthesis: Synthesis is an automatic method of converting a higher level of abstraction to lower level of abstraction. (ii) Functional simulator: It just checks whether the circuit gives the proper output. Hence it is a functional verification of the design without any delays. Functional Verification of the entity (iii) Timing Simulator: It allows you to check that the implemented design meets all timing requirements and behaves as you expect in the device.: To verify the post synthesis verification for time constraint (iv) Chip configuration or programming: It is a process where you can configure your device, create PROM, System ACE solution, SVF, XSVF, or STAPL files. 6

7 b) List four features of verilog. Write the verilog program architechture for 2- I/P AND gate. (2 marks for listing any 4-features and 2 marks for program) Features of Verilog: (Any 4 points) A general-purpose HDL Easy to learn and use Syntax is similar to C (VHDL is similar to PASCAL) Allows different levels of abstraction to be mixed in the same model In terms of switches, gates, RTL, or behavioral code Need to learn only for stimulus and hierarchical design Most popular logic synthesis tools support Verilog Rich of Verilog HDL libraries Provided by fabrication vendors for postlogic synthesis simulation Allows the widest choice of vendors while designing a chip With powerful PLI (Programming Language Interface) Write custom C code to interact with internal data structure Verilog program architecture for 2- I/P AND gate. module and1(c,a,b); output c; input a,b; assign c=a&b; endmodule c) Define FSM, Moore machine and Mealy machine. Draw the diagram of moore the machine. (Definitions 3 marks each and diagram of moore 1 mark) FSM: A Finite-state machine is defined as; a sequential circuit that has some practical bounds governing the number of different conditions (STATES) in which sequential machine can reside. Moore Machine: Moore machine is the sequential system where output depends only on present state. f (o/p) = f (Present State) Mealy Machine: Mealy machine is the sequential system where output depends on present input and state. f (o/p) = f (i/p, Present State) Diagram: 7

8 d) Draw the EX-OR gate circuit using CMOS. Solution: Ex-OR gate Boolean equation ; Moore machine Figure: diagram of moore the machine. e) Compare signals and variable. (Any four points 1M Each) 8

9 Sr. No Signals Variables Signal objects are used to connect entities together to form models Signals have their values scheduled in the future The keyword signal is followed by one or more signal names Signals can be declared in entity declaration sections architecture declarations and package declarations Signals need more information so more memory Variables are used for local storage in process statements and subprograms. Variables have all assignments to variables occur immediately The keyword variable is followed by one or more variable names Variables can be declared in the process declaration and subprogram declaration sections only. Variables take less memory f) Draw the diagrams for latch-up in CMOS. List how to prevent this. (Diagrams 2 M and prevention 2 M) Diagram: Figure: Latchup diagram How to prevent latch up: (any 4 points) 1. Increase the doping of well and substrate 2. Increase the depth of the well region. 3. Increase the spacing of NMOS / PMOS devices. 4. The source / well contact must be kept nearly to reduce series resistance, 5. Place a substrate contact for every 5-10 transistors, 6. Layout of n and p transistors with packing of n devices towards gnd and p devices toward V DD. 7. Using gold doping in the well region of substrate region. 9

10 8. To avoid undesirable parasitic 9. Guard Rings / channel stops: Additional heavy diffusion region may be same type of material as of substrate or well. 10. Reduce gain: add thin epitaxy layer over substrate. Q 3. Attempt any FOUR of the following: 16 Marks (a) List the methods of CMOS fabrication. What is dynamic power dissipation in CMOS? List the ways to reduce dynamic power dissipation in CMOS. ( Any 4 methods -2 M) The methods for CMOS fabrication are as follows : 1. P-Well 2. N well 3. Twin tub process 4. Silicon on insulator Dynamic power dissipation : ( 1 Mark) Defined as the power dissipated due to 1) Charging and discharging of load capacitances. 2) Short circuit between PMOS and NMOS networks while both are partially ON The ways to reduce dynamic power dissipation in CMOS are : (1 Mark) It depends upon power supply VDD, frequency F and capacitance C. Pavg= C L *VDD 2 *f p By using Minimum size devices. By Reducing supply voltage, Switched capacitance, frequency (b) Explain the architecture of SPARTAN-3 series (any one) with block diagram. (2 M Diagram, 2 M Explanation) 10

11 Generalized Block Diagram of FPGA A field programmable gate array (FPGA) is like a CPLD turned inside out. The logic is broken into a large number of programmable logic blocks that are individually smaller than a PLD. They are distributed across the entire chip in a sea of programmable interconnections, and the entire array is surrounded by programmable I/O blocks. An FPGA s programmable logic block is less capable than a typical PLD but an FPGA chip contains a lot more logic blocks than a CPLD of the same die size has PLDs. (c) Write the tool name in Xilinx-ISE for any two of the following i. compiler ii. simulator iii. implementation-fpga iv. device configuration/program v. pin-out and area constraint editor ( 2 M For any 2) i. compiler- Compxlib ii. simulator- ISim iii. implementation-fpga - NGDBuild iv. device configuration/program implementation v. pin-out and area constraint editor- constraint editor /ISEtext editor/pace/floor plan editor. ( any one) 11

12 (d) Describe the following: (any two) i..pcf file ii..bit file iii..ngd file (Any 2 Description, 2 M Each) i. pcf file - The Physical Constraints (PCF) file contains all the constraints on the design expressed in terms of physical entities. Timing constraints are a subset of the constraints in the PCF. A PCF is automatically generated from the UCF by MAP. ii. bit file File generated by Bit Gen, a program used for generating bit streams required by Xilinx FPGAs (field-programmable gate arrays); saved in a binary format and contains the configuration information for the circuit; used for uploading the configuration data to the FPGA device, which can be completed using the impact GUI provided with the Xilinx software. iii. NGD file- The Logical Design (NGD) file is an optional file which is used for cross probing to the Constraints Editor. The Timing Wizard Report (TWR) is an ASCII report containing timing analysis results e) Compare if statement and case statement. ( Each Explanation 2 M,, it can be in tabular form also with 4 points of comparison) The IF statement is used to conditionally execute a statement or a block of statements. The syntax of the IF statement is as follows: IF expression THEN statement [ ELSE statement ] OR IF expression THEN BEGIN statements ENDIF [ ELSE BEGIN statements ENDELSE ] CASE The CASE statement is used to select one, and only one, statement for execution, depending upon the value of the expression following the word CASE. This expression is called the case selector expression. The general form of the CASE statement is as follows: 12

13 CASE expression OF expression: statement... expression: statement [ELSE: statement] ENDCASE Each statement that is part of a CASE statement is preceded by an expression that is compared to the value of the selector expression. CASE executes by comparing the CASE expression with each selector expression in the order written. If a match is found, the statement is executed and control resumes directly below the CASE statement. The ELSE clause of the CASE statement is optional. If included, it matches any selector expression, causing its code to be executed. For this reason, it is usually written as the last clause in the CASE statement. The ELSE statement is executed only if none of the preceding statement expressions match. If an ELSE clause is not included and none of the values match the selector, an error occurs and program execution stops. The BREAK statement can be used within CASE statements to force an immediate exit from the CASE. f) Write the program for 4:1 MUX using if-else if statement. (4 M) (VHDL or Verilog program) Verilog Program module multiplexer4_1 ( din,sel,dout ); output dout ; reg dout ; input [3:0] din ; wire [3:0] din ; input [1:0] sel ; wire [1:0] sel ; (din or sel) begin if (sel==0) dout = din[3]; else if (sel==1) dout = din[2]; else if (sel==2) dout = din[1]; else dout = din[0]; 13

14 end endmodule OR VHDL Program Q4. (A) Attempt any THREE of the following: 12 Marks (a) Why a polysilicon gate is preferred over metal gate in VLSI? Why silicon (Si) is used instead of germanium (Ge) in VLSI? ( 2 Reasons 2 M) Polysilicon gate is preferred over metal gate in VLSI ( 2 reasons 2 M) Long polysilicon wires have distributed series R and C for cascaded pass transistors due to which the signal propagation is slowed down. But with metal wires,the signal propagation will be more slower due to value of capacitor C I\becomes very high. So due to polysilicon wires 1) The speed increases 2) The sensitivity to noise also reduces Silicon (Si) is used instead of germanium (Ge) in VLSI ( 2 points 2 mks) 1.Si devices can operate upto 150 degree celcius as compared to 100 degrees for Ge 2. Si grows a stable oxide SiO2 which is important in the fabrication of ICs as 14

15 compared to Ge oxide which is unsuited. 3. Ge is costly than Si. 4. Ge is difficult to dope as compared to Si. Ge is more sensitive for temp Ge has low Vknee voltage (b) Write the stable table and draw the state diagram for a synchronous counter whose o/p drives stepper motor. - The following schematic shows the different blocks in a circuit to drive a unipolar stepper motor. The 2 blocks are 1) 2 bit synchronous counter - Inputs are D (direction) and CLK. D will determine the direction of rotation and the CLK frequency will set the rotation speed. 2) Motor Driver circuit and Unipolar Stepper Motor - the Motor Driver circuit translate the Q A and Q B digital signals to appropriate drive currents required by the stepper motor. A common stepper motor is the four-coil unipolar. They are called unipolar because they require only that their coils be driven on and off. ( 1 M) State table Figure: State diagram for a synchronous counter ( 1 M) The following state diagram describes the stepper motor sequence. ( 1 M) 15

16 Stable table- ( 1 M) (c) Draw the following signal x for the statement (i) y= transport X after 5 ns; (ii) z= X after 6ns; (4 M) (d) What is FPGA? Explain. (2 M Diagram,2 M Explanation) A field programmable gate array (FPGA) has large number of programmable logic blocks that are individually smaller than a PLD. the basic structure of a FPGA is shown in the fig. below: 16

17 Figure: General block diagram of FPGA chipset. The programmable logic blocks are arranged in the matrix form with programmable interconnections and the entire array is surrounded by programmable I/O blocks. Each logic block is less capable than a typical PLD, but it has lot more logic blocks than a CPLD of same size. (B) Attempt any ONE of the following: 6 Marks (a) Draw (i) NAND gate using NMOS logic. (ii) AND gate using CMOS logic. (3 marks for NAND gate and 3 marks for AND gate) NMOS NAND gate (any one diagram out of 3 will do) AND gate using CMOS logic : 17

18 (b) (i) write the VHDL code to implement 4:1 MUX using with select statement - ( 1 mark) library IEEE; use IEEE.std_logic_1164.all; entity MUX4_1 is port (A: in Std logic_vector (3 down to 0) S:in std_logic_vector (1 down to 0) Y:out std_logict); end MUX4_1; architecture MUX_body of MUX4_1 is begin with S select Y<= A(0) when 00, 18

19 A(1) when 01, A(2) when 10, A(3) when 11, 0 when others ;-- optional end MUX_body; (2 Mark) (ii) Draw the design flow for Xilinx software or CAD/EDA software. ( 3 M for proper diagram) Figure: Design flow for Xilinx software 19

20 Q 5. Attempt any FOUR of the following : (a) List the parameters of which threshold voltage is a function.comment on W/L ratio 16 Marks (parameters 2M, comment on W/L 2M) Parameters Work function difference between the gate and the channel The gate voltage to change surface potential The gate voltage to offset depletion region charge The voltage component to offset the fixed charges in the gate oxide and in the silicon oxide interface W/L Ratio W: width of the channel L :; length of the channel The β is the mos transistor gain factor which depends on process parameter and geometry of the device. The W/L ratio is nothing but the geometry of the device. Which actually depend on the dimension of the layout. b. Write any two parameters each,which are enhanced and reduced with VLSI technology. (Any 2 parameters -2 M Each) c. Draw the CMOS transistor logic circuit for f = X 1 + X 2. X 3. (CMOS based circuit for NAND- 4 marks) Diagram: 20

21 Figure: CMOS transistor logic circuit d. Write the VHDL code for MOD-5 counter. Ans : ( The below is a MOD 5 counter, 4marks to be awarded for any other design too) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port(clk : in std_logic; reset : in std_logic Q : out std_logic_vector(2 downto 0)); end counter; architecture archi of counter is signal tmp: std_logic_vector(2 downto 0); begin process (Clk) begin if reset= 1 then tmp<= 000 ; end if; if (Clk event and Clk= 1 ) then if (tmp< 100 ) then tmp <= tmp + 1; else tmp<= 000 ; end if; end if; end process; 21

22 Q <= tmp; end archi; e. Which element /array is programmable in (i)pla (ii)pal (iii)cpld (iv)fpga ( 4 marks to be awarded to any 4 correct answers) Device AND Array OR Array PROM Fixed Programmable PLA Programmable Programmable PAL Programmable Fixed CPLD FPGA Functional Blocks Configurable Logic Blocks f. Compare Moore and Melay machine. (1 mark for each difference) Mealy Machine Moore Machine In a Mealy machine the output depends on the present state and present set of inputs In a Moore machine the output depends on the present state only. 22

23 Number of states required in the design of a Mealy is less in comparison to a Moore machine. Number of states required in the design of a Moore is more in comparison to a Mealy machine. Next state = F (Current state, input) Output = G (Current state, input) In a state diagram the output is represented on the transition along with input. Mealy machines are slow in comparison to a Moore Machine. Next state = F (Current state, input) Output = G (Current state) In a state diagram the output is represented in the state itself. Moore machines are faster in comparison to a Mealy Machine. Q. 6 Attempt any FOUR of the following: 16 Marks a) Write the temperature of any two process in C-Z method (or IC fabrication)- i) CVD process ii) Epitaxial growth iii) Silicon ingot formation (Any two, 2 marks each) i) CVD process ~ C C ii) Epitaxial growth ~ >800 0 C iii) Silicon ingot formation ~ C C 23

24 b) Write the etching material for any twoi) SiO2 ii) Poly-Silicon iii) Metal i) SiO2 HF Acid (Chemical etch) or plasma etch (dry etch) ii) Poly-Silicon- He / O2 iii) Metal- Chlorine or Bromine (Any two, 2 marks each) c) Write the VHDL code for a one digit BCD adder. (4 M) entity BCDadder is Port ( bcd1 : in std_logic_vector(3 downto 0); bcd2 : in std_logic_vector(3 downto 0); bcdcarryin : in std_logic; bcdsum : out std_logic_vector(3 downto 0); bcdcarryout : out std_logic); end BCDadder; architecture Behavioral of BCDadder is component adder4bit is Port ( a : in std_logic_vector(3 downto 0); b : in std_logic_vector(3 downto 0); carryin : in std_logic; sum : out std_logic_vector(3 downto 0); carryout : out std_logic); end component adder4bit; signal s,x: std_logic_vector(3 Downto 0); signal c,k : std_logic; begin u1:adder4bit port map(a(3)=>bcd1(3),a(2)=>bcd1(2),a(1)=>bcd1(1),a(0)=>bcd1(0), b(3)=>bcd2(3),b(2)=>bcd2(2),b(1)=>bcd2(1),b(0)=>bcd2(0), carryin=>bcdcarryin,sum(3)=>s(3),sum(2)=>s(2),sum(1)=>s(1),sum(0)=>s(0),carryout=>c); K <= (s(3)and s(2))or(s(3)and s(1))or(c); x <= "0110" when k ='1' else "0000"; u2 :adder4bit port map(a(3)=>s(3),a(2)=>s(2),a(1)=>s(1),a(0)=>s(0),b(3)=>x(3),b(2)=>x(2),b(1)=>x(1),b(0)=>x(0),carryin=>'0', sum(3)=>bcdsum(3),sum(2)=>bcdsum(2),sum(1)=>bcdsum(1),sum(0)=>bcdsum(0), carryout=>bcdcarryout); end Behavioral; 24

25 d) Why polysilicon gate is used instead of metal gate? (any 4 points 1 marks each) Fabrication processes after the initial doping required very high temperature annealing. Metal gates would melt under such conditions whereas polysilicon would not. Using polysilicon allowed for a one-step process of etching the gates compared to elaborate multisteps that we see today in metal-gate processes. Threshold voltage of the MOSFET inversion layer is correlated with the work-function difference between the gate and the channel. Using metal would result in a higher Vt compared to polysilicon since a polysilicon gate would be of the same or similar material composition as the bulk silicon channel. Conductivity is high in polysilicon gate compared to metal gate. e) What is hot electron effect? How to reduce it? (2 M for explanation 2 M for reduction) In MOSFETs, hot electron have sufficient energy to tunnel through the thin oxide gate to show up as gate current, or as a substrate leakage current. The hot electrons may jump from the channel region or from the drain for instance and into the gate or the substrate. These high energy electrons can enter the oxide where they can be trapped, giving rise to oxide charging that can accumulate with time and degrade the device performance by increasing VTO and affect adversely the gate s control on the drain current. When electrons are accelerated in the channel, they gain energy along the mean free path. How it is reduced: It is reduced by running lower supply at longer channel, "drain engineering" to stand off field at greater distance from the gate / spacer, better quality oxides that have fewer traps, circuit design that avoids peak HCE stress or transitions through that region as quickly and infrequently as possible (every switching event in digital spends a tiny slice of time in that region; analog might camp out, which would be bad). 25

26 f) Compare CPLD & FPGA. (any 4 points 1 marks each) Sr. FPGA CPLD No. 1 It is field programmable gate arrays. It is complex programmable logic device. 2 Capacity is defined in terms of number of gates available. Capacity is defined in terms of number of macro-cells available. 3 FPGA consumes less power than CPLD CPLD consumes more power than FPGA devices. 4 Numbers of input and output pins on FPGA are less than CPLD. Numbers of input and output pins on CPLD are high. 5 FPGA is suitable for designs with large number of simple blocks with few CPLD are ideal for complex blocks with large number of inputs. numbers of inputs. 6 FPGA based designs require more board space and layout complexity is more. CPLD based designs need less board space and less board layout complexity. 7 It is difficult to predict the speed performance of design. It is easier to predict speed performance of design. 8. FPGA are available in wide density range. CPLDs contain fewer registers but have better performance. 26

Q.1. Attempt any TEN of the following: (a) Define the term Noise Margins. Ans: [Define: 2 M]

Q.1. Attempt any TEN of the following: (a) Define the term Noise Margins. Ans: [Define: 2 M] Subject Code: 17659 Model Answer Page 1 of 24 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Winter 15 EXAMINATIONS

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Winter 15 EXAMINATIONS Winter 15 EXAMINATIONS Subject Code: 17659 Important Instructions to examiners: Model Answer 1) The answers should be examined by key words and not as word-to-word as given in the answer scheme. 2) The

More information

QUESTION BANK DEPARTMENT OF ECE

QUESTION BANK DEPARTMENT OF ECE QUESTION BANK DEPARTMENT OF ECE YEAR: III SEM: VI SUBJECTCODE:EC2354 SUBJECT NAME:VLSI DESIGN Prepared by V.GUNASUNDARI/AP 1.List the advantages of SOI CMOS process Denser transistor structures are possible.

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

FYSE420 DIGITAL ELECTRONICS. Lecture 7

FYSE420 DIGITAL ELECTRONICS. Lecture 7 FYSE420 DIGITAL ELECTRONICS Lecture 7 1 [1] [2] [3] DIGITAL LOGIC CIRCUIT ANALYSIS & DESIGN Nelson, Nagle, Irvin, Carrol ISBN 0-13-463894-8 DIGITAL DESIGN Morris Mano Fourth edition ISBN 0-13-198924-3

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Control and Datapath 8

Control and Datapath 8 Control and Datapath 8 Engineering attempts to develop design methods that break a problem up into separate steps to simplify the design and increase the likelihood of a correct solution. Digital system

More information

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks. Outline CPE/EE 422/522 Advanced Logic Design L05 Electrical and Computer Engineering University of Alabama in Huntsville What we know Combinational Networks Sequential Networks: Basic Building Blocks,

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory CS211 Digital Systems/Lab Introduction to VHDL Hyotaek Shim, Computer Architecture Laboratory Programmable Logic Device (PLD) 2/32 An electronic component used to build reconfigurable digital circuits

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Dr NNCE ECE/VI-SEM VLSI DESIGN LAB-LM

Dr NNCE ECE/VI-SEM VLSI DESIGN LAB-LM EC2357-VLSI DESIGN LABORATORY LABORATORY MANUAL FOR SIXTH SEMESTER B.E (ECE) (FOR PRIVATE CIRCULATION ONLY) ACADEMIC YEAR(2013-2014) ANNA UNIVERSITY, CHENNAI-25 DEPARTMENT OF ELECTRONICS AND COMMUNICATION

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I VLSI DESIGN (ELECTIVE-I) Question Bank Unit I B.E (E&C) NOV-DEC 2008 1) If A & B are two unsigned variables, with A = 1100 and B = 1001, find the values of following expressions. i. (A and B) ii. (A ^

More information

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden Synthesis from VHDL Krzysztof Kuchcinski Krzysztof.Kuchcinski@cs.lth.se Department of Computer Science Lund Institute of Technology Sweden March 23, 2006 Kris Kuchcinski (LTH) Synthesis from VHDL March

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 2012) The Register Transfer Level Daniel Kroening Oxford University, Computer Science Department Version 1.0, 2011 Outline Reminders Gates Implementations of Gates Latches, Flip-flops

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives Formation VHDL Language: FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) - Programmation: Logique Programmable V1 - VHDL Language FPGA Programming

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Concurrent Signal Assignment Statements (CSAs)

Concurrent Signal Assignment Statements (CSAs) Concurrent Signal Assignment Statements (CSAs) Digital systems operate with concurrent signals Signals are assigned values at a specific point in time. VHDL uses signal assignment statements Specify value

More information

COE 405 Design Methodology Based on VHDL

COE 405 Design Methodology Based on VHDL COE 405 Design Methodology Based on VHDL Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Elements of VHDL Top-Down Design Top-Down Design with

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices Instructor: Professor Jim Plusquellic Programmable Logic Devices Text: The Design Warrior s Guide to FPGAs, Devices, Tools and Flows, Clive "Max" Maxfield, ISBN: 0-7506-7604-3 Modeling, Synthesis and Rapid

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library : UNIT I Introduction to VHDL VHDL stands for very high-speed integrated circuit hardware description language. Which is one of the programming languages used to model a digital system by dataflow, behavioral

More information

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering Logic Design Lab pre lab questions (2015-2016) Cycle-1 1. What is a combinational circuit? 2. What are the various methods of simplifying

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER Boolean Algebra BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 5 Boolean Algebra /2 A set of elements B There exist at least two elements x, y B s. t. x y Binary operators: +

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture) Last Lecture The basic component of a digital circuit is the MOS transistor Transistor have instrinsic resistance and capacitance, so voltage values in the circuit take some time to change ( delay ) There

More information

ENGIN 241 Digital Systems with Lab

ENGIN 241 Digital Systems with Lab ENGIN 241 Digital Systems with Lab (4) Dr. Honggang Zhang Engineering Department University of Massachusetts Boston 1 Introduction Hardware description language (HDL): Specifies logic function only Computer-aided

More information

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs PLAs & PALs Programmable Logic Devices (PLDs) PLAs and PALs PLAs&PALs By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. To offer the ultimate

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

Motivation for Lecture. Market for Memories. Example: FFT Design. Sequential Circuits & D flip-flop. Latches and Registers.

Motivation for Lecture. Market for Memories. Example: FFT Design. Sequential Circuits & D flip-flop. Latches and Registers. Motivation for Lecture Design Methodologies Storage (registers and memories) Computational platforms Design Methodologies Memories is a crucial part of most designs: What different type of memories are

More information

Lab Manual for COE 203: Digital Design Lab

Lab Manual for COE 203: Digital Design Lab Lab Manual for COE 203: Digital Design Lab 1 Table of Contents 1. Prototyping of Logic Circuits using Discrete Components...3 2. Prototyping of Logic Circuits using EEPROMs...9 3. Introduction to FPGA

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Lecture 3 Introduction to VHDL

Lecture 3 Introduction to VHDL CPE 487: Digital System Design Spring 2018 Lecture 3 Introduction to VHDL Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 Managing Design

More information

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 2 Implementation in Sequential Logic 2 PAL Logic Implementation Design Example: BCD to Gray Code Converter A B

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices INTRODUCTION A programmable logic device or PLD is an electronic component used to build reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Ahmed Abu-Hajar, Ph.D. abuhajar@digitavid.net Digitavid, Inc San Jose, CA Session One Outline Introducing VHDL

More information

Digital Systems Design

Digital Systems Design IAY 0600 Example: HalfAdder Behavior Structure Digital Systems Design a b Sum Carry 0 0 0 0 0 1 1 0 a b HalfAdder Sum Carry 1 0 1 0 VHDL discussion Dataflow Style Combinational Design 1 1 0 1 a Sum Sum

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information

Introduction to VHDL #3

Introduction to VHDL #3 ECE 322 Digital Design with VHDL Introduction to VHDL #3 Lecture 7 & 8 VHDL Modeling Styles VHDL Modeling Styles Dataflow Concurrent statements Structural Components and interconnects Behavioral (sequential)

More information

Chapter 2. Boolean Algebra and Logic Gates

Chapter 2. Boolean Algebra and Logic Gates Chapter 2. Boolean Algebra and Logic Gates Tong In Oh 1 Basic Definitions 2 3 2.3 Axiomatic Definition of Boolean Algebra Boolean algebra: Algebraic structure defined by a set of elements, B, together

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Course : Transistors to Systems Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

CENG 4480 L09 Memory 3

CENG 4480 L09 Memory 3 CENG 4480 L09 Memory 3 Bei Yu Chapter 11 Memories Reference: CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 Memory Arrays Memory Arrays Random Access Memory Serial Access

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

Digital Design with SystemVerilog

Digital Design with SystemVerilog Digital Design with SystemVerilog Prof. Stephen A. Edwards Columbia University Spring 25 Synchronous Digital Design Combinational Logic Sequential Logic Summary of Modeling Styles Testbenches Why HDLs?

More information

VHDL VS VERILOG.

VHDL VS VERILOG. 1 VHDL VS VERILOG http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 VHDL & Verilog They are both hardware description languages for modeling hardware. They are each a notation to describe the behavioral

More information