Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05

Size: px
Start display at page:

Download "Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05"

Transcription

1 System on a Chip Technologies Rich Sevcik Executive Vice President, Xilinx

2 A Top-Ranking Company Forbes Best Managed Semiconductor Company (2004) FORTUNE Best Companies to Work For ( ) Highest ranking public company Highest ranking high-technology company Top Top Top Top Xilinx has been recognized for setting a new standard for managing a high technology business 2

3 Programmability is Mainstream Logic ASIC/FPGA Vendor Ranking Lucent 1. IBM 1. IBM 1. IBM 1. IBM 1. IBM 2. IBM 2. Lucent 2. Lucent 2. Agere 2. NEC 2. NEC 3. NEC 3. NEC 3. LSI Logic 3. LSI Logic 3. Agere LSI Logic 4. LSI Logic 4. NEC 4. NEC Fujitsu 5. Fujitsu Altera Source: Gartner Dataquest Note: Lucent spun-off their semiconductor division in 2001 creating Agere Systems 3

4 Programmable Logic Market Share 100% 80% 60% 40% 20% $2.1B $2.6B $4.1B $2.6B $2.3B $2.6B $3.1B 32% 28% 24% 20% 18% 14% 39% 34% 31% 32% 32% 34% 33% 31% 52% 49% 50% 44% 38% 35% 30% 0% CY98 CY99 CY00 CY01 CY02 CY03 CY04 Forecast Xilinx Altera Other 4 Source: Gartner Dataquest; CY 04 Internal Estimates

5 Fundamental Law of VLSI Technology The number of transistors in an integrated circuit will double every 18 months Source: Intel web site 5 Today s chips have close to 1 Billion transistors!

6 Moore s Law is Alive and Well for FPGAs Moore's Law Intel MPU # of Transistors (000s) TI DSP XLNX (Normalized Logic Cells) 486 DX Pentium Pro Pentium Pentium III Pentium IV Prescott Source: Morgan Stanley, Xilinx 6

7 FPGA Design Trend Relative to ASICs Design Starts Number of Designs (thousands) Source: Gartner Group X FPGA ASIC 7

8 System On A Chip Key Engineering Factors Cost Design cycle time / Time-To-Market Performance/Speed Density/Size Production volume Ease of fixing bugs, making changes 8

9 Simplified FPGA Slice An FPGA slice has 2 LUTs with 4, 5 or 6 inputs 2 registers Carry logic for fast adders 4 outputs, 2 registered + 2 non-registered Slice 0 PRE LUT Carry D Q CE CLR LUT Carry D PRE CE Q CLR 9

10 A Decade of Progress 1000x 200x More Logic Plus memory, µp, DSP, MGT 40x Faster 50x Lower Power 500x Lower Cost 100x 10x CLB Capacity Speed Power per MHz Price XC4000 & Spartan Virtex-II & Virtex-II Pro Virtex & Virtex-E Spartan-2 Virtex-4 XC4000 Spartan-3 1x '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 Year 10

11 Xilinx at the Forefront of Process and Wafer Technology 180 nm 150 nm 130 nm 90 nm First to 150nm and 300mm 1 Year FPGA Leadership First to 130nm and 300mm SIA First to 90nm and 300mm Xilinx 200 mm wafers 300 mm copper wafers

12 FPGA vs. ASIC Cost ASIC: High volumes needed to recover design cost Total cost ASIC Design Cost is much higher (and increasing)!! ASIC.09µ ASIC.13µ FPGA.13µ FPGA.09µ ASIC cost/part is lower For each technology advance, crossover volume moves higher Volume 12

13 13 The Platform FPGA Programmable MGTs Logic DSP Memory PowerPC I/Os Custom Logic Communication Port DSP Accelerator Internal Memory External Memory Port µp

14 IP-Immersion Technology Enabled by 9-Layer Metal Metal 9 Metal 8 Metal 7 Metal 6 Metal 5 Metal 4 PPC PPC Metal 3 Metal 2 Metal 1 Advanced hard-ip block (e.g. PowerPC CPU) Poly Silicon Substrate Active Interconnect Segmented Routing Metal Headroom 14

15 Highest Feature Performance 200,000 LCs 500 MHz Differential Clocking 500 MHz BRAM with FIFO & ECC 500 MHz XtremeDSP slice 622 Mbps Gbps Rocket IO >1 Gbps diff I/O with ChipSync 450 MHz PowerPC with APU 10/100/1000 Ethernet MAC AES design security 15

16 FPGA vs. ASIC Time-To-Market FPGA is 9 months vs. 18 months for ASIC ASIC Spec Design & verification Silicon Prototype System Integration Silicon Production First Ship 50% less time FPGA Spec Design & Verification System Integration First Ship FPGA allows late changes, higher chance of meeting customer needs 16

17 Relative Cost of ASIC Bug Verify Verify Verify!!! Arch Design Test System Customer Note: Verification now takes longer than design!! 17

18 New Approach Standard FPGA with Application Specific Test Traditional ASIC Conversion Time-to-Market Development with FPGA EASYPATH SOLUTIONS Design Frozen Design Conversion 6 to 18 months App. Test Conversion 6 to 8 weeks NO risk NO Engineering Resource NO Prototype Build Board Certification Time-To To-Cost Reduction Volume Production Up to 80% cost reduction Volume Production 18

19 * 8 # PRO LIAN T E SC DL T SD Major Application Areas Communication - Wireless -Wired Radio tower Automotive Storage, Server and Computing Consumer Electronics Disk array FPGAs ASICs Examples: Mars Rover BMW Cars Industrial Networking Satellite dish Satell ite Aerospace, Military, Mission Critical 19

20 Multi-Platform Offering Reduces Cost By Up To 10X Traditional FPGA Family Virtex-4 Multi-platform FPGA Family Device Capability & Cost Feature D Feature C Feature B Feature A Device cost Feature A Feature B Enabled by the Xilinx ASMBL architecture 20

21 Domain Optimized Platforms One Family Multiple Platforms Virtex-4 LX Virtex-4 SX Virtex-4 FX Column based features Platform A Platform B Platform x... Logic Memory DSP Processing High-speed I/O Logic Domain Domain A Highest logic density DSP Domain Domain B Highest DSP performance Enables hard IP Mix Logic, DSP, BRAM, I/O, MGT, DCM, PowerPC Enabled by Flip-Chip Packaging I/O Columns Distributed Throughout Connectivity Domain Embedded Processors High-speed Serial I/O 21

22 Both Hard & Soft IP Necessary for Programmable Systems Programmable hard IP Up to 10x less area Up to 10x lower power Up to 2x performance Customizable soft IP Most flexible Widest selection IP Processing DSP Connectivity Hard PowerPC DSP slice (MAC) PHY (ser./par.) Timing critical I/O logic & clocking Soft Peripherals Accelerators Additional µps Algorithms Protocols Example: Virtex-4 FX platform FPGA 22

23 Combined with IP Solutions DSP Domain System Generator for DSP DSP Cores/Algorithms Connectivity Domain Connectivity IP Source Synch Design Kits Processing Domain Platform Studio & EDK MicroBlaze processor Processing IP Front-to-back design flow LogiCORE IP Design Kits Reference Designs ChipScope Pro Third-party EDA Design Services Support Customer Education 23

24 Complete Design Environment Processor Cores, IP and Silicon Development Tools Development Boards OS Support 24 Breakthrough Performance At Lowest Cost

25 Platform Studio Design Wizards Extreme ease-of-use Configure a new platform in minutes Minimal user-input default system Conceptual Mockup 25

26 Accelerate Performance Beyond the Processor Core Auxiliary Processing Unit (APU) Direct interface from CPU pipeline to FPGA logic Simplifies hardware accelerators Increase performance by over 20X 26

27 Built for Debug IO Pads ILA IP Core PPC405 Core IO IO Pads Pads IBA ILA Custom Logic Embedded System Bus Custom Core ILA IO Pads FPGA provides full internal visibility Debug occurs at system speeds Never too late in an FPGA Hardware fixed during development or after product deployment Enables on-chip co-verification Memory Array ILA ICON Boundary Scan TAP Controller 27

28 The Ultimate Programmable System Platform Auxiliary Processing Unit Auxiliary Processing Unit Auxiliary Processing Unit memory interface, peripherals,... APU Control PowerPC Processor Block D-Cache 405 PPC I-Cache OCM Control Auxiliary Processing Unit APU Control PowerPC Processor Block D-Cache 405 PPC I-Cache OCM Control on-chip memory Processor Local Bus Memory Controller Bridge user logic user logic FIFO user logic user logic Memory Controller user-defined link Peripheral user logic user logic On-chi p Per. Bus User-designed Systems GPP Auxiliary Multiple APUs Network general-purpose may can APUs of processing leverage communicate access communicating interacting perform logic, processor speed units interacting user different using and (APUs) APUs, logic (e.g. (GPP), predictability arbitrary functions with modules. enhance orchestrated its the connected cache user-defined outside. (e.g. of and on-chip I/O), by accelerate to registers). the user concurrently. mechanisms. memory. processor. logic. GPP. 28

29 Connectivity Standards Customer challenges Plethora of interfacing protocols Differing electrical compliance requirements Bridging between parallel and serial devices Storage Networking Telecom Computing OC GFC 2GFC 4GFC SATA SATA2 SATA GbE XAUI 10GbE OBSAI OC GbE PCI Express SATA CPRI SATA PCIE Gen GFC 8.5 CEI (OIF) GFC CEI (OIF) 11G Video HD-SDI 1.45 Support Rate (Gb/s)

30 Example: Virtex-4 Enables PCI Express Compliant Add-in Cards 4x PCI Express 64-bit, 133 MHz PCI-X PCI Express requires Support for power management features Spread spectrum clocking support 30

31 Advanced Switching Industry Rollout Final 1.0 spec Compliance checklist st 4 PI s issues 1 st Pre-silicon Product Announcements Deploy Wired Wired Wireless Wireless Storage Storage Converged Converged Services Services Develop BFM, IP, FPGA Simulation Tools White Papers ATCA based ASI ref design Switches, Bridges early 05 Software Implementations Design Industries 1 st HW demo s ASI 1.1 Specification PCI Express, SLS, SQ, SDT Compliance Tools Ethernet, FC, ATM & other PI s Compliance workshops Gen 2 PHY Advanced Switching for the PCI Express architecture

32 Xilinx University Program Report to the CTO Seeking to enable students Provides donations to Universities Manages external research partnerships 32

33 Summary FPGAs and ASICs are 2 complimentary approaches to SOC Driven by Moore s law, low design cost and shorter design cycle time, FPGAs are becoming dominant! ASICs remain solution of choice only for very high performance or increasingly higher volumes 33

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

NEXT STEP Visit us online at. The Ultimate Low-Cost Applications Platform. Spartan-3 Generation FPGAs _ TAKE THE. Spartan-3

NEXT STEP Visit us online at. The Ultimate Low-Cost Applications Platform. Spartan-3 Generation FPGAs _ TAKE THE. Spartan-3 SPARTAN- GENERATION FPGAs Spartan- Spartan-E Spartan-A Optimized for High Density and High I/O Designs Logic optimized I/O optimized Corporate Headquarters Xilinx, Inc. 100 Logic Drive San Jose, CA 95

More information

The Ultimate System Integration Platform. VIRTEX-5 FPGAs

The Ultimate System Integration Platform. VIRTEX-5 FPGAs The Ultimate System Integration Platform VIRTEX-5 FPGAs THE WORLD S FIRST 5nm FPGA One Family Multiple Platforms The Virtex -5 family of FPGAs offers a choice of four new platforms, each delivering an

More information

Virtex-4 Family Overview

Virtex-4 Family Overview Virtex-4 User Guide 0 Virtex-4 Family Overview DS112 (v1.1) September 10, 2004 0 0 General Description The Virtex-4 Family is the newest generation FPGA from Xilinx. The innovative Advanced Silicon Modular

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs

Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs Microprocessor Soft-Cores: An Evaluation of Design Methods and Concepts on FPGAs Pieter Anemaet (1159100), Thijs van As (1143840) {P.A.M.Anemaet, T.vanAs}@student.tudelft.nl Computer Architecture (Special

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

ETHERNET CONTROLLER DESIGN FOR AN EMBEDDED SYSTEM USING ADVANCED FPGA TECHNOLOGY EDDIE L. GROOM

ETHERNET CONTROLLER DESIGN FOR AN EMBEDDED SYSTEM USING ADVANCED FPGA TECHNOLOGY EDDIE L. GROOM ETHERNET CONTROLLER DESIGN FOR AN EMBEDDED SYSTEM USING ADVANCED FPGA TECHNOLOGY by EDDIE L. GROOM DR. TOM JANNETT, COMMITTEE CHAIR DAVID GREEN JON MARSTRANDER A THESIS Submitted to the graduate faculty

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

easic Technology & Nextreme Architecture

easic Technology & Nextreme Architecture easic Technology & Nextreme Architecture Tomer Kabakov Director of Sales tomer@easic.com Tel: 054-4304032 1 easic at a Glance Fabless Semiconductor Company Provider of Structured ASIC Products Founded

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

Power vs. Performance: The 90 nm Inflection Point

Power vs. Performance: The 90 nm Inflection Point White Paper: Virtex-4 Family R WP223 (v1.2) May 19, 2006 Power vs. Performance: The 90 nm Inflection Point By: Anil Telikepalli The debate over which high-performance 90 nm FPGA has the lowest power is

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

FPGA How do they work?

FPGA How do they work? ent FPGA How do they work? ETI135, Advanced Digital IC Design What is a FPGA? Manufacturers Distributed RAM History FPGA vs ASIC FPGA and Microprocessors Alternatives to FPGAs Anders Skoog, Stefan Granlund

More information

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team COSMOS Architecture and Key Technologies June 1 st, 2018 COSMOS Team COSMOS: System Architecture (2) System design based on three levels of SDR radio node (S,M,L) with M,L connected via fiber to optical

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc.

Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc. Power Considerations in High Performance FPGAs Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Trends and opportunities The programmable factor 4 focus areas for power consideration Silicon

More information

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc.

Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc. Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc. Agenda Introduction Impact of Technology Node Adoption Programmability & FPGA Expanding Application Space Review

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Lecture 6: Summary V10_1_2_0 Avnet SpeedWay Workshops Model-Based Design Flow Develop Executable Spec in Simulink Design Exploration for Targeting

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh (thanks to Prof. Nigel Topham) General Information Instructor

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles

Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles Class 330 Configurable Embedded Systems: Using Programmable Logic to Compress Embedded System Design Cycles Steven Knapp (sknapp) Arye Ziklik (arye) Triscend Corporation www.triscend.com Copyright 1998,

More information

Introduction to FPGAs. H. Krüger Bonn University

Introduction to FPGAs. H. Krüger Bonn University Introduction to FPGAs H. Krüger Bonn University Outline 1. History 2. FPGA Architecture 3. Current Trends 4. Design Methodology (short see other lectures) Disclaimer: Most of the resources used for this

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing Kees A. Vissers CTO Chameleon Systems, Inc. kees@cmln.com Research Fellow, UC Berkeley vissers@eecs.berkeley.edu www.eecs.berkeley.edu/~vissers MP-SOC, July, 2002 Silicon, 20 years

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Soft processors as a prospective platform of the future

Soft processors as a prospective platform of the future Procedia Computer Science Volume 88, 2016, Pages 294 299 7th Annual International Conference on Biologically Inspired Cognitive Architectures, BICA 2016 Soft processors as a prospective platform of the

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini Sept 2010

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini  Sept 2010 DINI Group FPGA-based Cluster computing with Spartan-6 Mike Dini mdini@dinigroup.com www.dinigroup.com Sept 2010 1 The DINI Group We make big FPGA boards Xilinx, Altera 2 The DINI Group 15 employees in

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Trends in Digital Interfaces for High-Speed ADCs

Trends in Digital Interfaces for High-Speed ADCs Trends in Digital Interfaces for High-Speed ADCs Robbie Shergill National Semiconductor Corp. INTRODUCTION The analog-to-digital converter is a critical component in many of the most demanding applications

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004.

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004. White Paper The advantages of using a combination of DSP s and FPGA s Version: 1.0 Author: Louis N. Bélanger Date: May, 2004 Lyrtech Inc The advantages of using a combination of DSP s and FPGA s DSP and

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

The Evolution of Mobile

The Evolution of Mobile The Evolution of Mobile and its impact on storage architecture Jonathan Hubert Director, Strategic Marketing Micron Technology Mobile Memory Workshop 2011 Wireless Data Rates Doubling Every 18 Months 2

More information