EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

Size: px
Start display at page:

Download "EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs"

Transcription

1 EM MICROELECTRONIC offers ALP018 for MPW service through CMP Christian Terrier ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

2 Creating integrated Circuits since

3 Products Sensor Fusion & Sensor Interface Power Management Microcontrollers Supervisory Wireless / RF Timing RF Identification & Security Display & Touch Know-How ASICS Capacitive Tactile Electronic Modules IC Production RF Communication Ultra-Low Power Low Voltage Non-Volatile Memory Display Technology Sensor Interfaces Applications Automotive Displays Healthcare & Sports Identification Industrial Mobile Communication Tablet & PC Peripherals Watchmaking

4 Colorado Springs, USA Prague, Czech Republic Marin, Switzerland Bangkok, Thailand

5 Down to 110nm in production 180 nm is the actual main stream technology. Low cost 2-3um/Pwell CMOS still running after 30 years! Tailored components for analog in all processes. NVM (EE or Flash) in all processes (trimming, storage) EKV accurate models for near/sub Vth operations Characterized libraries for low voltage.

6 Let s start with ALP018 Logic process (the easiest to use), A process developed and optimized in house for : Low current (na bias), Low voltage operations (down to 0.4V) Analog Designs (low leakage, low noise, pairing) Mixed Signal (100kGates/mm2) EKV models with parameters for near/sub Vth operations Analog components (MOS, Bip, R, C, diodes) with models. Digital cell library optimized for Low Power/Low Voltage I/O pads library with low leakage ESD protections. Design Kit built around the Cadence Framework Use of Synopsys for Digital flow (100kGates/mm2)

7 Cadence VirtuosoVersion 6.1.7_ISR20 ( Open Access ) ADE Explorer & Assembler VirtuosoSchematicComposer & VirtuosoLayoutEditor Spectre & APS SimulatorsVersion ISR4 Incisive Version Physical Verification: PVS Version Parasiticextractions : QRC Version Synopsys: CustomSim XA, Design + Power + DFT Compilers Cadence Innovus Synopsys PrimeTime

8 APL018 : a 180nm process optimized and dedicated to : - Analog and Mixed Signal designs - Low Power and Low Voltage - Accurate models for near for Threshold operations - OK for small digital parts (100kGates/mm2) - Developed and Manufactured in Europe - Development teams are under the same roof : - Process, Models, Design kit, Designers

9 Thank you for your attention Happy to have you as future users Any question?

10 1 N18 N mos 1.8V 2 N33 N mos 3.3V 3 NLVT18 Low Vt Nmos 4 NAT18 Native 1.8V 5 NAT33 Native 3.3V 6 P18 Pmos1.8V 7 P33 Pmos3.3V 8 PLVT18 Low Vt pmos 9 N18_OCT Octagonal N mos 1.8V 10 NLVT18_OCT Octagonal Low Vt Nmos 11 P18_OCT Octagonal Pmos1.8V 12 PLVT18_OCT Octagonal Low Vt Pmos N33_ESD ESD Nmos 3.3V BIP Vertical PNP

11 10 RHRPO HR poly resistor 11 RNND Ndiffresistor 12 RNNPO N+ poly resistor 13 RNPD Pdiffresistor 14 RNPD33 Pdiffresistor 15 RNPPO P+ Poly resistor 16 RSPPO Salliciedpoly 17 RNW18 Nwellresistor1.8V 18 RNW33 Nwellresistor3.3V 19 RM1/2/3/4/5/6 Metalresistors OTHER PROBE Probe point

12 20 CN18 Mos cap 21 CPNW18 Poly/Nwell1.8V 22 CPNW33 Poly/Nwell3.3V 22 CMIM_1F5 MIM Cap 23 CCOMB Double poly 25 DIONW Nwelldiode 26 DN18 N+/sub 1.8V 27 DN33 N+/sub 3.3V 28 DP18 P+/sub 29 MVNWSY MV Nwell Schottky

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production http://mycmp.fr Grenoble - France Available Processes Process

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Data sheet IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Sofics has verified its TakeCharge ESD protection clamps on TSMC 28nm

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

ISO Tool Confidence Level (TCL)

ISO Tool Confidence Level (TCL) ISO 26262 Tool Confidence Level (TCL) John Brennan, Product Management Director, SVG Steve Lewis, Product Management Group Director, CPG Rob Knoth, Product Management Director, DSG Randal Childers, Director,

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. 700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. Dr. Shye Shapira Director of Global Power Management Research and Development TowerJazz April

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Design Support

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N.  Design Support F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N www.umc.com Design Support Design Support Solutions Overview UMC's Design Support Solutions provide customers with a practical and

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Virtuoso Characterization

Virtuoso Characterization A complete solution for fast and accurate characterization and validation The Cadence Virtuoso Characterization Suite delivers the industry s most comprehensive and robust solution for the characterization

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM)

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) EMBEDDED SRAM & NVM LOGIC LIBRARIES EMBEDDED T&R MEMORY DEVELOPMENT SW INTERFACE IP Agenda Applications Requiring Standard CMOS NVM

More information

Analog ASICs in industrial applications

Analog ASICs in industrial applications Analog ASICs in industrial applications Customised IC solutions for sensor interface applications in industrial electronics the requirements and the possibilities Synopsis Industrial electronics creates

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation Mixed-Signal/RF-0.18μm CSMC 0.18 micron process is process-matched to other foundry. CSMC logic process provide generic and low power process. 0.18 micron process provide 1.8V operation voltage core device,

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

System Reset IC with delay Monolithic IC PST89XB Series

System Reset IC with delay Monolithic IC PST89XB Series System Reset IC with delay Monolithic IC 89XB Series Outline This IC is a reset IC for turning on/off power supply and power flicker in CPU or logic systems. This IC can change delay time by an external

More information

SGM2020 Low Power, Low Dropout, RF - Linear Regulators

SGM2020 Low Power, Low Dropout, RF - Linear Regulators GENERAL DESCRIPTION The series low-power, low-noise, low-dropout, CMOS linear voltage regulators operate from a 2.5V to 5.5V input voltage. They are the perfect choice for low voltage, low power applications.

More information

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution Yipin Zhang, Cor Scherjon Institut für Mikroelektronik Stuttgart Allmandring 30 a 70569 Stuttgart This paper presents

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Capacitive Touch Based User Interfaces and Hardware-based Solutions

Capacitive Touch Based User Interfaces and Hardware-based Solutions Capacitive Touch Based User Interfaces and Hardware-based Solutions Renesas Electronics America Inc. Renesas Technology & Solution Portfolio 2 Microcontroller and Microprocessor Line-up 2010 2013 32-bit

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

APPLICATION NOTE 655 Supervisor ICs Monitor Battery-Powered Equipment

APPLICATION NOTE 655 Supervisor ICs Monitor Battery-Powered Equipment Maxim > Design Support > Technical Documents > Application Notes > Automotive > APP 655 Maxim > Design Support > Technical Documents > Application Notes > Microprocessor Supervisor Circuits > APP 655 Keywords:

More information

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC GSI Helmholtzzentrum für Schwerionenforschung GmbH Experiment Electronics Department December 5, 2016 Outline 1 Motivation 2 3 Motivation Currently

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

ASYNC Rik van de Wiel COO Handshake Solutions

ASYNC Rik van de Wiel COO Handshake Solutions ASYNC 2006 Rik van de Wiel COO Handshake Solutions Outline Introduction to Handshake Solutions Applications Design Tools ARM996HS Academic Program Handshake Solutions Started as research project in Philips

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

Artisan 0.18um Library

Artisan 0.18um Library Artisan 0.18um Library 478 high-density standard cells Average cell density of 111K gates/sq.mm Multiple drive strengths Routable in 3, 4, 5 or more metal layers Comprehensive design tool support Process

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories 4590 Patrick Henry Drive Santa Clara, CA 95054-1817 Telephone: (408) 988-3500 Facsimile: (408) 988-0279 CMOS RFIC Switches: Simple and inexpensive, the latest 2.5GHz versions pose a legitimate challenge

More information

EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS

EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS EXPERIMENT 6. CMOS INVERTERS AND CMOS LOGIC CIRCUITS I. Introduction I.I Objectives In this experiment, you will analyze the voltage transfer characteristics (VTC) and the dynamic response of the CMOS

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

A Test-Centric Approach to ASIC Development for MEMS

A Test-Centric Approach to ASIC Development for MEMS A Test-Centric Approach to ASIC Development for MEMS MÅRTEN VRÅNES DIRECTOR, CONSULTING SERVICES CONSULTING SERVICES GROUP MEMS JOURNAL, INC. C: 707.583.3711 MVRAANES@MEMSJOURNAL.COM 4 th Annual MTR Conference

More information

V PP IN V CC3 IN V CC5 IN EN0 EN1 MIC2561 V CC5_EN V CC3_EN

V PP IN V CC3 IN V CC5 IN EN0 EN1 MIC2561 V CC5_EN V CC3_EN MIC2561 PCMCIA Card Socket and V PP Switching Matrix Final Information General Description The MIC2561 & V PP Matrix controls PCMCIA (Personal Computer Memory Card International Association) memory card

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review November 21, 2005 Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

SGM2019 Low Power, Low Dropout, RF - Linear Regulators

SGM2019 Low Power, Low Dropout, RF - Linear Regulators GENERAL DESCRIPTION The SGM219 series low-power, low-noise, low-dropout, CMOS linear voltage regulators operate from a 2.5V to 5.5V input voltage. They are the perfect choice for low voltage, low power

More information

New Embedded NVM architectures

New Embedded NVM architectures New Embedded NVM architectures for Secure & Low Power Microcontrollers Jean DEVIN, Bruno LECONTE Microcontrollers, Memories & Smartcard Group STMicroelectronics 11 th LETI Annual review, June 24th, 2009

More information

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor Row-based Design Methodologies To Compensate Variability For Energy- Efficient Systems Micrel lab, DEIS, University of Bologna Mohammad Reza Kakoee PhD Student m.kakoee@unibo.it it Luca Benini Advisor

More information

Wolverine - based microcontrollers. Slashing all MCU power consumption in half

Wolverine - based microcontrollers. Slashing all MCU power consumption in half Wolverine - based microcontrollers Slashing all MCU power consumption in half Wolverine: Industry s lowest power MCU platform Unique mixed signal ultra-low leakage process technology Enables variety of

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

SystematIC: Innovation in integration. Richard Visee, CEO SystematIC

SystematIC: Innovation in integration. Richard Visee, CEO SystematIC SystematIC: Innovation in integration Richard Visee, CEO SystematIC Application Innovation in integration Integration Some trends Innovation 3 examples Q&A Integration.SystematIC Know how, experience and

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

solutions for teaching and learning

solutions for teaching and learning RKOneAnalogue Component List and Instructions PCB layout Constructed PCB Schematic Diagram RKOneAnalogue Software Development PCB Page 1 Description The RKOneAnalogue software development PCB has been

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

IS31SE CH CAPACITIVE TOUCH SENSOR EVALUATION BOARD GUIDE

IS31SE CH CAPACITIVE TOUCH SENSOR EVALUATION BOARD GUIDE ISSE0 -CH CAPACITIVE TOUCH SENSOR EVALUATION BOARD GUIDE DESCRIPTION The ISSE0 is an ultra low power, fully integrated solution for capacitive touch applications with up to surfaces. The chip allows electrodes

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information