700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

Size: px
Start display at page:

Download "700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V."

Transcription

1 700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. Dr. Shye Shapira Director of Global Power Management Research and Development TowerJazz April 30, 30,

2 Total 8 Equivalent Capacity of 1.7M WPY 2

3 TS18/35PM Technology Applications POE, DC/DC, LED Backlight TS35PM (5V CMOS) Scalable 7 to 60V Vds with low Rsp Embedded no mask-adder NVM Thick Power Metal (Cu /Al) 60V Vgs, 80V Vds options High Power/Motor Drive, POE Shallow Isolated TS18/35PM Fully isolated devices with buried layer Up to 80V operation with low Rsp Noise isolation for >2 Amp applications PMIC, Digital Controlled Power TS18PM (1.8/5V CMOS) 1.8V CMOS for 125 kgates/mm 2 Same HV modules as TS35PM Multi-Fab sourcing AMOLED, Audio Deep Isolated TS18/35PM Fully isolated devices with deeper isolation Allows positive/negative bias Modular power management platform with best-in class performance and design enablement (models, PDK, IP and Design Services) 3

4 Ultra High Voltage (UHV) Technology Applications LED lighting Industrial LED lighting for street lighting and incandescent bulb replacement AC to DC up to 700V AC to DC offline converters Integrated Power Integrated control circuits for MOSFET / IGBT power devices in white appliances 4

5 Lighting System Topologies Single stage (a) and more advanced Multiple (b) stage From (Branas et al IEEE solid state lighting magazine Dec 2013 ) 700V ac to Midvoltage Midvoltage to LED Advanced lighting Solutions require more than one voltage stage 5

6 Digital Power Control Better efficiency and control of color and power and can be achieved by Digital Power Control. Advanced CMOS technology nodes are Required MultiChip Solution (high density CMOS; Power) See Tetervenoks, O. Choice of power and control coupling elements for dimmable led driver for smart lighting networks Industrial Electronics Society, IECON th Annual Conference of the IEEE 2013, Page(s): Ice C, EDN Network, Bringing LED Control Into the Digital Age, 11 September 2012, pp

7 Solutions are Multichip At times Multimodule Current Topologies High Voltage AC to midvoltage DC Digital PWM control chip Mid voltage DC DC chip Choice of power and control coupling ele ments for dimmable led driver for smart lighting ne tworks Tetervenoks, O. IECON th Annual Conference of the IEEE 2013 Can the right technology enable all electronics on one Chip? Soc lighting chip 7 7

8 Shallow Trench Isolation Introduced since 0.25 micrometer technology nodes to allow smaller separation between NMOS and CMOS contact. 8 8

9 Isolation Option Base Shallow Deep SOI * CMOS 1.8 V (TS18PM) Yes Yes Yes Yes 125Kgate/mm2 5 V (TS18PM/TS35PM) Yes Yes Yes Yes 35Kgate/mm2 HV Options NLDMOS Vds (5V Vgs) 12, , 20-60, 80 12, Up to 200V Operating PLDMOS Vds (5V Vgs) 12, , , Up to 200V Operating Epi and buried layer No Yes Yes No Drain Isolated No No Yes Yes Passives MIM Cap 1, 1.7, 3.4 1, 1.7, 3.4 1, 1.7, 3.4 1, 1.7, 3.4 ff/um2 Poly Resistor 1k or 2k 1k or 2k 1k or 2k 1k or 2k Ohm/sq Other Zener Diode (5.5v) Option Option Option Option * Coming soon MidVoltage + CMOS platform: TS18/35PM Features Schottky Diode Yes Yes Yes Yes VNPN, SVPNP Yes Yes Yes Yes Embedded NVM 64,4k, 16k 64,4k, 16k 64,4k, 16k 64,4k, 16k Bits Metal Layers 3 3 to 5 3 to 5 3 to 5 Top Metal Al options 0.9, 2, 3 0.9, 2, 3 0.9, 2, 3 0.9, 2, 3 um Top Metal Cu option um 9

10 High Voltage 1 Micron Based Platform: TS100PM LS/HS TS100PM LS TS100PM HS CMOS 5 V, 1.8V Yes, No Yes, No Logic density HV Options LDMOS Vfloat (5V Vgs) No Up to 650V LDMOS Vfloat (26V Vgs) No Up to 650V JFET Vds 700V No Ldmos BVsd 750V 650V (Level Shifter) Passives MIM Cap No No Poly Resistor Yes Yes Other Zener Diode (5.5v) Yes Yes VNPN, SVPNP Yes VNPN only Embedded NVM No No Metal Layers 2 2 Metal Material Al Al Top Metal Al

11 Platform Integration Approach TS PM TS 100 PM Integration of Processes Enhancement Children are better than their parents Ts UHV High Voltage AC to midvoltage DC Digital PWM control chip Mid voltage DC DC chip Soc lighting chip 11

12 Item 700 V Device Source Drain contact pitch Gate length 1 mic Cmos Gate length 0.5 mic 5V Cmos CMOS and Power Technologies: Features and Physical Dimensions Dimensions (Micrometers) Typical Technolog y Node Micron Logic Density Gates/mm^ Gate length 0.35 mic 3.3V Cmos Maximum Logic Gate Density Integrated with 700V Hybrid 0.18 Backend of line ; 5VGate length (TS35PM) New 700V platform TS18/35 UHV >> ,5, 55(1.8V,5V, HV ldmos) 0.18 >>>15 Non Volatile Memory Fuse ( 1 to several bits) Fuse Fuse Fuse / High mask count nvm Yflash (64 to 64Kb), fuse Yflash (64 to 64Kb), fuse Comment Silicon Breakdown Field Dictates L > ~50 Microns for all technologies Best Available gate density for 700V in market New Highest gate density with single gate integrated 700V switch available enabling 700V SOC New Highest gate density with integrated 700V 12 switch available enabling 700V SOC 12

13 Requirements : Fully Integrated offline Lighting Platform High performance High Voltage Device ( Low rdson Scalable Voltage) High Performance startup devices (JFETs) High Density NVM High Density Logic High quality LV Analog Device Modeling 13 13

14 RdSp [mohm mm^2] Continuous Voltage Scaling Why: Different applications require slightly higher voltage margins. Moving to the next discrete voltage bears a high Rdson penalty. 1 Rdson reduction by continuous offering vs Two Device offering Continuous Voltage Device Offering 2 Two Device Offering 1,2 Solution : Continuous Voltage Scaled Platform BV [V] Rdson penalty for small increase in voltage is minimized. Scalable Voltage devices are accompanied by scalable voltage ESD protection devices. Automated pcells allow simple control and predictability of Rdson in continuous manner. Requires high end modeling solutions 14

15 Scalable Voltage and RdsOn of HV Devices in 700V Devices 15

16 Digital PM - Embedded Low Mask Count Drain current, A NVM Y-Flash TowerJazz unique Non-Volatile Memory solution Two Terminal Device based on nmos transistor allows Small cell size 64b -64Kb module size Y-cell I-V in different directions 1.E-04 1.E-06 1.E-08 Forward Reverse 1.E-10 1.E-12 1.E Drain or Source voltage, V 16 16

17 700V NLDMOS Layout Examples: 700v Ldmos Device and Building Blocks Double Resurf: Isolation layer Pinched April 30, off 2014 on both sides 17

18 ID [A] 700v LDMOS Pulsed Safe Operating Area VG=2V VG=3V VG=4V VD [V] 18

19 Id [A] 30V LDMOS 1.4E-02 Id Vs Vgs=0 to 5V 1.2E E E E E-03 Vgs=1V Vgs=2V Vgs=3V Vgs=4V 2.0E E Vds [V] Vgs=5V 19

20 High voltage Jfet for Startup Circuit BV=800V 20

21 TS18/35UHV: Lighting SOC Platform Features TS100PM LS TS100PM HS TS35UHV LS* TS35UHV HS** Comment CMOS 5 V, 1.8V Yes, No Yes, No Yes, Yes Yes, Yes Logic density 35, , 125 kgates/mm2 HV Options LDMOS Vfloat (5V Vgs) No Up to 650V No Up to 650V Operating LDMOS Vfloat (26V Vgs) No Up to 650V No Up to 650V Operating JFET Vds 700V No 700V 700V Operating 650V (Level 650V (Level Ldmos BVsd 750V 750V Typical Shifter) Shifter) Passives MIM Cap No No 1, 1.7, 3.4 1, 1.7, 3.4 ff/um2 Poly Resistor Yes Yes 1k, 2k 1k, 2k Ohm/sq Other Zener Diode (5.5v) Yes Yes Yes Yes * PDK available in Q ** Coming soon VNPN, SVPNP Yes VNPN only Yes Yes Embedded NVM No No Bits Metal Layers Metal Material Al Al Al Al Top Metal Al , 3 2, 3 um 21

22 22

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production http://mycmp.fr Grenoble - France Available Processes Process

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation Mixed-Signal/RF-0.18μm CSMC 0.18 micron process is process-matched to other foundry. CSMC logic process provide generic and low power process. 0.18 micron process provide 1.8V operation voltage core device,

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc.

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc. 2/3D Simulation of High Voltage MOSFET Copyright 2008 Crosslight Software Inc. www.crosslight.com 1 2 Contents Overview of CSuprem/Apsys models Process simulation Breakdown trend of a 300V LDMOS 3D Simulation

More information

Power management ICs for green energy applications

Power management ICs for green energy applications Power management ICs for green energy applications Close Executive Overview The power management IC (PMIC) has become a critical component in virtually every electronics product today. Much of this demand

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

HiPAC High Performance Actives & Passives on Chip

HiPAC High Performance Actives & Passives on Chip HiPAC High Performance Actives & Passives on Chip Passive Integration on Silicon Solutions & Target Components to be integrated Solutions Resistors Inductors Transformers Capacitors Diodes Transistors

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

IBG Protection for Anti-Fuse OTP Memory Security Breaches

IBG Protection for Anti-Fuse OTP Memory Security Breaches IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview Anti-Fuse Memory IP is considered by some to be the gold standard for secure memory. Once programmed, reverse engineering methods will

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Diodes Incorporated Corporate Direction

Diodes Incorporated Corporate Direction Diodes Incorporated Corporate Direction Vision: Create Shareholder Value Goal 1: $1B Market Cap 2010 Goal 2: $1B Annual Revenue 2017* Goal 3: $1B Gross Profit Goal 4: $1B Profit Before Tax $1B PBT $1B

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Introducing the PM Pair Power-over-Ethernet Powered Device Solution

Introducing the PM Pair Power-over-Ethernet Powered Device Solution Introducing the PM8805 4 Pair Power-over-Ethernet Powered Device Solution Vipin Bothra STMicroelectronics Typical System Architecture Power over Ethernet 4 Power AC line UPS PSE(Power Sourcing Equipment)

More information

MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY

MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY System Board 6289 MAXREFDES108#: NON-ISOLATED 12V/1A POE POWERED DEVICE POWER SUPPLY To meet the increasing demands for non-isolated Power over Ethernet (PoE) power solutions, Maxim has developed innovative,

More information

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview A global problem that impacts the lives of millions daily is digital life security breaches. One of the

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F4 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 30/05/2014-1 ATLCE - F4-2011

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs EM MICROELECTRONIC offers ALP018 for MPW service through CMP Christian Terrier ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs Creating integrated Circuits since Products

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution Yipin Zhang, Cor Scherjon Institut für Mikroelektronik Stuttgart Allmandring 30 a 70569 Stuttgart This paper presents

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories 4590 Patrick Henry Drive Santa Clara, CA 95054-1817 Telephone: (408) 988-3500 Facsimile: (408) 988-0279 CMOS RFIC Switches: Simple and inexpensive, the latest 2.5GHz versions pose a legitimate challenge

More information

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP

Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Enabling Intelligent Digital Power IC Solutions with Anti-Fuse-Based 1T-OTP Jim Lipman, Sidense David New, Powervation 1 THE NEED FOR POWER MANAGEMENT SOLUTIONS WITH OTP MEMORY As electronic systems gain

More information

The Powermite Family. Contents. Introduction. Outperforms Conventional SMT

The Powermite Family. Contents. Introduction. Outperforms Conventional SMT The Powermite Family Introduction Powermite is Microsemi s patented low-profile architecture for packaging surface mount devices with the industry's highest power density in the smallest possible footprint.

More information

Diodes Zener diodes. Electronics Diodes. Terry Sturtevant. Wilfrid Laurier University. September 9, 2015

Diodes Zener diodes. Electronics Diodes. Terry Sturtevant. Wilfrid Laurier University. September 9, 2015 Electronics Diodes Wilfrid Laurier University September 9, 2015 Diode an electronic device which passes current in one direction only Diode an electronic device which passes current in one direction only

More information

Read and Write Cycles

Read and Write Cycles Read and Write Cycles The read cycle is shown. Figure 41.1a. The RAS and CAS signals are activated one after the other to latch the multiplexed row and column addresses respectively applied at the multiplexed

More information

System Level Technologies and High Level Integration Evolution

System Level Technologies and High Level Integration Evolution System Level Technologies and High Level Integration Evolution Bruno Murari*, Giuseppe Gattavari**, Giuseppe Ferla***, Alfio Russo*** *STMicroelectronics, TPA Group Via Tolomeo 1, 20010 Cornaredo, Milano,

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

TQPED MMIC Design Training

TQPED MMIC Design Training TQPED MMIC Design Training Outline Installation and Use of the Library AWR AWR Design Kit (PDK Process Design Kit) ICED Layout Kit Create a new document using the Library Environment Setup Hotkeys Background

More information

28F K (256K x 8) FLASH MEMORY

28F K (256K x 8) FLASH MEMORY 28F020 2048K (256K x 8) FLASH MEMOR SmartDie Product Specification Flash Electrical Chip Erase 2 Second Typical Chip Erase Quick-Pulse Programming Algorithm 10 ms Typical Byte Program 4 Second Chip Program

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP

ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP ID 810C: Renesas On-line Virtual Power Laboratory Renesas VP Renesas Electronics America Inc. Jim Comstock Technical Marketing Manager 13 October 2010 Version: 1 Bio - Jim Comstock Current Position: Renesas

More information

Low Power SRAM Techniques for Handheld Products

Low Power SRAM Techniques for Handheld Products Low Power SRAM Techniques for Handheld Products Rabiul Islam 5 S. Mopac, Suite 4 Austin, TX78746 5-4-45 rabiul.islam@intel.com Adam Brand Mission College Blvd Santa Clara, CA955 48-765-546 adam.d.brand@intel.com

More information

HF81 X Capacitor Bleeder

HF81 X Capacitor Bleeder HF81 X Capacitor Bleeder The Future of Analog IC Technology DESCRIPTION HF81 is an innovative two-terminal IC that automatically discharges an X capacitor while eliminating power losses and allowing power

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

ENGR4300 Test 4A Spring 2005

ENGR4300 Test 4A Spring 2005 Question 1 Diodes Assume that the forward bias threshold voltage for the diode in the circuit is 0.7V. A. Consider the following circuit a) What type of diode circuit is the circuit above? (1 pt) b) What

More information

Power Density. Digital Control. Improvements and. Techniques Enabling. Power Management Capabilities. Technical Paper 004

Power Density. Digital Control. Improvements and. Techniques Enabling. Power Management Capabilities. Technical Paper 004 Digital Control Techniques Enabling Power Density Improvements and Power Management Capabilities Technical Paper 004 First presented at PCIM China 2007 Digital control can be used as an enabling technology

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Manufacturing and Technology R&D

Manufacturing and Technology R&D Manufacturing and Technology R&D Jean-Marc Chery Chief Operating Officer Orio Bellezza Executive Vice President General Manager, Front-End Manufacturing & Technology R&D Sense and Power & Automotive (SP&A)

More information

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS NSCore, Inc. http://www.nscore.com/ Outlines 1. Corporate Overview 2. Program, Read & Erase Mechanism 3. OTP IP Lineups 4. New MTP Technologies

More information

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES Introducing the 22FDX 22nm FD-SOI Platform from GLOBALFOUNDRIES March 2016 Introduction Selecting a next generation technology platform for your new product is a critical decision. Product requirements

More information

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification EE4-Fall 2007 igital Integrated Circuits Lecture 29 ROM, Flash, and RAM ROM and Flash 4 4 Announcements Final ec. 20 th Room TBA Final review sessions: Mon. ec. 7 th 3:30pm, 550 Cory Tues. ec. 7 th 3:30pm,

More information

7. Integrated Data Converters

7. Integrated Data Converters Intro Flash SAR Integrating Delta-Sigma /43 7. Integrated Data Converters Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma

More information

HF81 X Capacitor Bleeder

HF81 X Capacitor Bleeder HF81 X Capacitor Bleeder The Future of Analog IC Technology DESCRIPTION HF81 is an innovative two-terminal IC that automatically discharges an X capacitor while eliminating power losses and allowing power

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Lecture 20: CAMs, ROMs, PLAs

Lecture 20: CAMs, ROMs, PLAs Lecture 2: CAMs, ROMs, PLAs Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 2: CAMs, ROMs, and PLAs CMOS VLSI Design 4th Ed. 2 CAMs Extension of ordinary memory (e.g.

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

IPD at a Glance 2. Industrial & Power Discrete (IPD) 2012 key facts TAM = $ 33.1B Billing = $ 1.75B Market share = 5.3%

IPD at a Glance 2. Industrial & Power Discrete (IPD) 2012 key facts TAM = $ 33.1B Billing = $ 1.75B Market share = 5.3% Industrial & Power Discrete (IPD) Carmelo Papa Executive Vice President General Manager, Industrial and Multisegment Sector Matteo Lo Presti Group Vice President General Manager, Industrial and Power Conversion

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Prepared by: Jim Lepkowski ON Semiconductor

Prepared by: Jim Lepkowski ON Semiconductor Application Hints for Transient Voltage Suppression Diode Circuits Prepared by: Jim Lepkowski ON Semiconductor APPLICATION NOTE INTRODUCTION Transient Voltage Suppression (TVS) diodes provide a simple

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

Advances in Flexible Hybrid Electronics Reliability

Advances in Flexible Hybrid Electronics Reliability Advances in Flexible Hybrid Electronics Reliability LOPEC Smart & Hybrid Systems Munich 3/29/17 This work sponsored in part by Air Force Research Laboratory, Wright-Patterson AFB, for supporting reliability

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

BG1B Universal Gate Drive Prototype Board

BG1B Universal Gate Drive Prototype Board BG1B Universal Gate Drive Prototype Board Description: The BG1B is a single channel gate drive circuit board for high power IGBT modules. The BG1B utilizes Powerex hybrid gate drivers and DC-to-DC converters

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

2017 American Semiconductor, Inc. All rights reserved. 1

2017 American Semiconductor, Inc. All rights reserved. 1 1 Advances in Flexible Hybrid Electronics Reliability This work sponsored in part by the Air Force Research Laboratory, Wright-Patterson AFB and Rapid Response Technology Office, under the programs Enabling

More information

Bootstrap Circuitry Selection for Half-Bridge Configurations

Bootstrap Circuitry Selection for Half-Bridge Configurations Application Report Bootstrap Circuitry Selection for Half-Bridge Configurations Mamadou Diallo, High Power Drivers ABSTRACT Driving MOSFETs in half-bridge configurations present many challenges for designers.

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

DEI1604 SURGE BlOCKING MODULE (SBM)

DEI1604 SURGE BlOCKING MODULE (SBM) Device Engineering Incorporated 385 East Alamo Drive Chandler, AZ 855 Phone: (480) 30308 Fax: (480) 303084 Email: admin@deiaz.com DEI1604 SURGE BlOCKING MODULE (SBM) 1. FEATURES Bidirectional surge protection

More information