Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow

Size: px
Start display at page:

Download "Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow"

Transcription

1 Addressing 7nm Arm DynamIQ Cluster Design Challenges Using the Cadence Digital Implementation Flow Shawn Hung Sr. Engineering Manager, Arm Jerry Chen Sr. AE Manager, Cadence Arm Tech Symposia 2017, Taipei

2 Agenda Arm DynamIQ introduction Arm-Cadence collaboration Cadence flow for 7nm DynamIQ Shared Unit (DSU) implementation 7nm DSU implementation best practices Floor-planning Placement Clock choices Handling crosstalk Signoff ECO for power/timing Wrap-up followed by Q&A 2

3 Arm DynamIQ Introduction

4 Arm DynamIQ design Multi-core redefined New single cluster design Greater flexibility, with or without big.little technology Redesigned memory subsystem Advanced compute capabilities 4

5 Arm Cortex-A75: New premium performance point Cortex -A75 Massive uplift for laptop-ready performance Massive uplift for laptop-ready performance Smartphone power profile Smartphone power profile AI for edge to cloud compute >50% more performance AI for edge to cloud compute compared to current devices Estimated device performance using SPECINT2006, final device results may vary Comparison using Cortex-A73 at 2.4GHz vs Cortex-A75 at 3GHz 5

6 Cortex-A55: Efficient performance redefined Cortex-A55 Breakthrough power efficiency Higher sustained performance More intelligent compute at the edge 2.5x higher power efficiency compared to current devices Comparison using Cortex-A53 in 28nm devices vs Cortex-A55 in 16nm devices 6

7 Uncompromised performance at the edge New DynamIQ big.little performance levels across all tiers High end Mid Entry level 4b+4L Laptop-ready compute performance 1b+7L 2x single-thread performance (vs. today s octacore) 4L Elevating your user experience 7 Example configurations shown

8 Arm-Cadence Collaboration

9 9 Arm and Cadence project collaboration Implementation Reference Methodology irm cmos32lp Cortex-A15 irm Samsung 20nm testchip Hardened Macro Testchip cmos32lp IBM 20nm testchip TSMC 28hpm Cortex-A7 irm TSMC 20nm Cortex-A15 testchip RAK Rapid Adoption Kit Cortex-A7 irm TSMC 28hpm GPU Mali-T604 irm TSMC 40lp Samsung 20nm testchip POP IP Dual-A9 TSMC 28hpm Cortex-A9 Hard macro TSMC 28hpm Seahawk Quad-A15 IBM Arm 14nm FinFET testchip Samsung A7 14nm FINFET Next-gen Mali Cortex- A53 irm TSMC 28hpm TSMC 16FF Cortex-A57 Cortex -A57 Mali T678 irm Armv8 tsmc28hpm Arm big.little + GPU Testchip Cortex-A15 R3 irm Update TSMC 28hpm Cortex -A53 TSMC 28hpm Cortex-A57 irm TSMC 28hpm Seahawk 2 Dual-A15 GF 28nm A12 CCN-504 irm CCN-504 irm Cortex-A12 irm TSMC 16FF Cortex- A53/A57 Next-gen System IP irm TSMC 28hpm Cortex-A17 irm Cortex-A15 LP irm TSMC 28hpm GF 28nm A17 Mali T720 irm Cortex-A57 irm refresh Cortex- M7 irm Arm and Cadence are successfully engaged in several joint projects Cortex-A72 irm TSMC 16FF Cortex-A53/A57 PPPA Push TSMC 16FF+ TSMC 40LP Mail- T880 Armv8 Arm big.little + GPU Testchip T860 Cortex GPU A53 Cortex- A72 CPU TSMC 16FF+ TSMC 16FF+ TSMC 16FF+ TSMC 16FFC Cortex-A35 RAK N10 Cortex- A Cortex-A73 RAK Mali-G71 RAK 2 MP1 A73 TSMC 16FFC High Performance Low Power Cortex-R52 RAK Cortex-M23 RAK Cortex-A75 RAK Mali-G72 RAK Cortex-A55 RAK TSMC 7nm DSU RAK Cortex-M33 RAK

10 Arm and Cadence collaboration Close collaboration with Arm IP and flow developers Early access to new Arm IP during RTL development cycle enables better understanding of EDA requirements Cadence tool and flow enhancements implemented in parallel with Arm IP Arm IP Updates Arm R&D Cadence Digital Design tools Investigations / experiments / tool enhancements Cadence R&D Tool & Flow updates Initial Arm IP Early collaboration ensures tool support in place 10

11 Cadence Implementation Flow Placement PostRoute Optimization RTL synthesis to gates (Cadence Genus ) Design RTL Timing-Aware Placement Scan Reordering Clock estimation (Early Clock Flow) PRECTS optimization POSTROUTE Setup Opt Skew Optimization Incremental POSTROUTE Opt POSTROUTE Hold Fixing Synthesis / Mapping Multibit Insertion Scan Insertion/Compression Netlist / ScanDEF Place and Route Setup (Cadence Innovus ) Floorplan Initialization MMMC Setup MultiBit Level Shifter Insertion Libraries DEF/Floorplan UPF SDC LEF files QRC tech Files + Additional Constraints - Bounds - Blockages - Route Guides - NDR - CTS constraints Clock Tree Synthesis CTS with Useful Skew Enabled Clock Tree Routing POSTCTS Setup Opt POSTCTS Hold Fixing Signal Routing Timing-aware Signal Routing Signoff Extraction (QRC) Multi-corner RC extraction Libraries LEF files Signoff Constraints (SDC) Netlist and DEF STA (Cadence Tempus ) - Multi corner - Multi mode - STA signoff settings - Graph Based STA - Path based STA on failing paths RC SPEF 11

12 Stylus Common User Interface Arm DSU project used the Stylus Common User Interface Common User Interface Streamline synthesis to signoff flow Script consistency across the whole digital flow Simple reuse of code & fewer files to maintain Uniform New GUI Across Tools Uniform Commands Across Tools Automated Flow and Metrics Faster debug with robust common reporting Common UI Easy to capture advanced flow recipes such as 7nm Uniform Database Access Uniform Reports and Logs Enables each designer to be more productive Common Initialization Commands Improved ease-of-use and designer productivity 12

13 7nm DSU Implementation Flow

14 TRIM TRIM Derate 7nm process changes On-chip variation requires more accuracy, SOCV Ideal derate OCV derate AOCV derate SOCV derate VIA pillars for highperformance designs Lower voltage needs accurate waveform for delay calculation PMOS off NMOS on, Output switching Vdd The output switch only at the tail of the input waveform Both transistors are off, Output floating Vtp Path depth Trim metal shapes needed for best routing density Significant RC differences across layer stack PMOS on NMOS off, Output no change Vtn Wire1 Wire2 Wire3 > 30X interconnect delay difference between layers 14

15 7nm Cadence flow Synthesis Early Physical Synthesis considering 7nm rules RTL Genus (Physical Synthesis) GigaPlace Placement No placement guides / regions Early clock flow Clock tree synthesis Non default rules for clock nets based on 7nm layer stack Cloning and merging of ICGs Signoff Complete 7nm timing signoff SOCV enabled Conformal (Formal Eq) Innovus (Implementation) GigaOpt (pre-cts) CCOpt (CTS) NanoRoute GigaOpt (post-route) Quantus (Signoff Extr) Tempus (Signoff STA) No manual latencies for RAMs or ICGs Routing Timing driven Aggressive wire spreading to control SI effects of long parallel wires Signoff ECO Integrated into Implementation flow Path based to avoid pessimism Focus on total power reduction 15 GDS

16 DSU MP8 configuration RTL Configuration 4x Cortex-A75 + 4x Cortex-A55 MP8 2MB L3 cache Asynchronous DVFS for cores AMBA ACE Bus Interface Accelerator Coherency Port, Peripheral Port Interface Cortex-A75 config: 64KB L1, 512KB L2, ECC/Parity present, Crypto Cortex-A55 config: 32KB L1, 256KB L2, ECC/Parity present, Crypto, NEON Target Frequency: 2.5 TT/1.0V/85C 4x Cortex-A75 4b+4L *Zoomed-in view of DSU layout 16 Example configuration

17 7nm DSU Implementation Best Practices

18 Floorplanning DSU in the SoC context In a typical SoC floorplan, the CPU cluster would occupy one corner of the die Need to consider SoC floorplan along with DSU Cores and DSU shaped to be efficient at the SoC level Some examples for a 2x Cortex-A75 + 4x Cortex-A55 configuration shown here 18 *Schematics with representative scaling

19 Example rectilinear floor plan results Floorplan details 2x Cortex-A75 + 4x Cortex-A55 No notches along die edges Ports accessible close to die center, with some flexibility to move Results Timing goals are met Exact same flow used for all floorplan trials No placement guides / additional tuning necessary *Zoomed-in view of DSU layout 19

20 7nm DSU floor planning and placement considerations RAM channels Use density screens to limit the cell density in core channels For typical RAM channels, use soft blockages to ensure long wires are buffered optimally Size the core channels to accommodate logic + routing DSU logic module placement Logic module placement follows DSU data path flow Placement guides / regions not found to be necessary SI and wire delay avoidance is critical in macro dominated floorplans, especially for 7nm *Zoomed-in view of DSU layout 20

21 Placement guides Historically designers used placement guides to improving timing closure Time-consuming, iterative, manual process, which may not converge Needs to be manually recreated for each floorplan revision Innovus Implementation System natively understands DSU data path flow No need for manual placement guides Generally user-defined placement guides make results worse 21

22 Clock latency planning DSU design uses a number of levels of architectural clock gates for achieving low power For optimal timing closure, pre-cts clock gate latency estimations should be applied to the architectural clock gates Manual latency estimation can be error prone and iterative Innovus early clock flow fully automates the latency calculation significantly improving accuracy Enables faster floorplan trials and design closure GigaPlace Latencies automatically generated CCOpt Clock tree synthesis implements latency Innovus (Implementation) GigaPlace GigaOpt (pre-cts) CCOpt (CTS) NanoRoute GigaOpt Optimization driven by accurate timing, improving QoR Early clock flow used for 7nm DSU implementation GigaOpt (post-route) 22

23 SI avoidance during initial placement Floorplan creates long thin channels between CPUs and DSU logic Std.cell snapshot in channel Need to control cell density in these channels to reduce SI effects Initial placement should consider SI timing effects Route segments 23

24 SI avoidance from initial placement - Results Results Cell density controlled Placer manages cell density in channels, so router can reduce signal integrity (SI) effects on long wires Enables optimal buffer placement Significantly improves final timing Std.cell snapshot in channel Reduced cell density in channel Post Route Timing Reference Cell density control in channels R2R; WNS/TNS/FEP /-6.393/ /-0.667/381 R2CG; WNS/TNS/FEP /-0.041/ /-0.108/50 All; WNS/TNS/FEP /-7.549/ /-0.854/445 Route segments Long wires aggressively spread to reduce SI 24

25 Routing over the L3 RAM macros For achieving optimal PPA, it is essential to ensure shortest possible paths to and from L3 RAM pins Routing to RAM pins needs to go across memory macros Rotate memory macros so pins on edge facing DSU logic paths Memory abstracts should not block upper metal layers Router needs to use upper metal layers across memory macros to avoid detours Long wire buffers should use channels between memory macros L3 RAM Inefficient routing & buffering around L3 RAMs DSU logic DSU logic Desired routing and buffering across L3 RAMs 25

26 Routing over the L3 RAM macros Innovus system automatically creates dense 7nm routes in M10 directly across SRAM macros Significantly reduces wire length on nets connecting to memory macros Results in optimal timing on L3 paths L3 RAMs M10 routes 26

27 Tempus ECO - Flow Final stage of implementation based on signoff-quality timing and extraction Path-based analysis (PBA) used to avoid any pessimism Power optimization timing aware, so no impact to timing closure Integrated into Innovus system, so easy to use without leaving implementation environment Tempus ECO flow used during 7nm DSU implementation Innovus (Implementation) GigaPlace GigaOpt (pre-cts) CCOpt (CTS) NanoRoute GigaOpt (post-route) Tempus-ECO Quantus (Signoff Extr) Tempus (Signoff STA) 27

28 % of cells Tempus ECO 7nm DSU results During implementation a few VT/CL cell classes enabled High-performance timing closure is the focus during implementation, so not all VT classes required As part of Tempus ECO flow, all VT/CL cell classes are allowed 120% 100% 80% 60% 40% 20% 0% Cell Type Cell VT Class Percentage Reduced VT Leakage *TUL_C8* *TUL_C11* *TL_C8* *TL_C11* *TS_C8* *TS_C11* Usage (Before ECO) Usage (After ECO) Normalized Leakage Power Enables Tempus ECO to reclaim significant power without any impact to critical timing paths 95% reduction in leakage power Sequential Cell Type Combinational Before Tempus ECO After Tempus ECO 28

29 Summary 7nm DSU design easily achieves 2.5GHz target frequency Frequency could be increased if necessary for SoC requirements Placement and optimization need to understand floorplan channels between CPUs and cache memories to manage SI Innovus early CTS used to automatically estimate Architectural clock gate latency pre-cts Tempus ECO used to improve final leakage power Innovus (Implementation) RTL Genus (Physical Synthesis) GigaPlace GigaOpt (pre-cts) CCOpt (CTS) NanoRoute GigaOpt (post-route) Tempus ECO Tempus (Signoff STA) Physical synthesis based on 7nm rules Channel aware placement Clock latencies automatically generated Aggressive wire spreading to reduce SI Tempus ECO sign off driven timing and power closure 29 GDS

30 Thank You! Danke! Merci! 謝謝! ありがとう! Gracias! Kiitos! 감사합니다 धन यव द 30

31 The Arm trademarks featured in this presentation are registered trademarks or trademarks of Arm Limited (or its subsidiaries) in the US and/or elsewhere. All rights reserved. All other marks featured may be trademarks of their respective owners. 31

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 A Secure and Connected Intelligent Future 1 2017 Arm Copyright Limited Arm 2017 Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 Arm: The Industry s Architecture of Choice 50

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Standard Cell Design and Optimization Methodology for ASAP7 PDK

Standard Cell Design and Optimization Methodology for ASAP7 PDK ICCAD 2017 Tutorial Standard Cell Design and Optimization Methodology for ASAP7 PDK Xiaoqing Xu, Nishi Shah, Andrew Evans, Saurabh Sinha, Brian Cline and Greg Yeric Arm Inc xiaoqing.xu@arm.com 10/15/2017

More information

Understanding the tradeoffs and Tuning the methodology

Understanding the tradeoffs and Tuning the methodology Understanding the tradeoffs and Tuning the methodology Graham Scott, Technical Lead ARM Cortex Application Processors, Cadence Nandan Nayampally, Director CPU Product Marketing, ARM Inc 1 Agenda Market

More information

Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications

Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications Helena Zheng ML Group, Arm Arm Technical Symposia 2017, Taipei Machine Learning is a Subset of Artificial

More information

Arm s Latest CPU for Laptop-Class Performance

Arm s Latest CPU for Laptop-Class Performance Arm s Latest CPU for Laptop-Class Performance 2018 Arm Limited Aditya Bedi Arm Tech Symposia India Untethered. Connected. Immersive. Innovation continues to drive growth and performance demands on our

More information

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor PD Marketing ARM 1 Introduction to Cortex-A15 & Seahawk ARM Cortex-A15 is a high performance engine for superphones,

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks 2017 Arm Limited David Koenen Sr. Product Manager, Arm Arm Tech Symposia 2017, Taipei Agenda 5G networks Ecosystem software to support

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

The Changing Face of Edge Compute

The Changing Face of Edge Compute The Changing Face of Edge Compute 2018 Arm Limited Alvin Yang Nov 2018 Market trends acceleration of technology deployment 26 years 4 years 100 billion chips shipped 100 billion chips shipped 1 Trillion

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Accelerating intelligence at the edge for embedded and IoT applications

Accelerating intelligence at the edge for embedded and IoT applications Accelerating intelligence at the edge for embedded and IoT applications Arm Tech Symposia 2017 Agenda The emergence of intelligence at the edge Requirements for intelligent edge computing IP and technologies

More information

A Developer's Guide to Security on Cortex-M based MCUs

A Developer's Guide to Security on Cortex-M based MCUs A Developer's Guide to Security on Cortex-M based MCUs 2018 Arm Limited Nazir S Arm Tech Symposia India Agenda Why do we need security? Types of attacks and security assessments Introduction to TrustZone

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

How to Build Optimized ML Applications with Arm Software

How to Build Optimized ML Applications with Arm Software How to Build Optimized ML Applications with Arm Software Arm Technical Symposia 2018 ML Group Overview Today we will talk about applied machine learning (ML) on Arm. My aim for today is to show you just

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks Jeff Maguire Senior Product Manager Infrastructure IP Product Management Arm 2017 Arm Limited Arm Tech Symposia 2017 Agenda 5G networks

More information

How to Build Optimized ML Applications with Arm Software

How to Build Optimized ML Applications with Arm Software How to Build Optimized ML Applications with Arm Software Arm Technical Symposia 2018 Arm K.K. Senior FAE Ryuji Tanaka Overview Today we will talk about applied machine learning (ML) on Arm. My aim for

More information

Artificial Intelligence Enriched User Experience with ARM Technologies

Artificial Intelligence Enriched User Experience with ARM Technologies Artificial Intelligence Enriched User Experience with ARM Technologies Daniel Heo Senior Segment Manager Mobile, BSG, ARM ARM Tech Forum Singapore July 12 th 2017 Global AI survey: the world is ready 71

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Introduction to Innovus

Introduction to Innovus Introduction to Innovus Courtesy of Dr. Dae Hyun Kim@WSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction to Innovus Innovus was called Innovus before v15 Standard Placement and Routing

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Connect Your IoT Device: Bluetooth 5, , NB-IoT

Connect Your IoT Device: Bluetooth 5, , NB-IoT Connect Your IoT Device: Bluetooth 5, 802.15.4, NB-IoT Craig Tou Business Development Manager, Arm Arm Tech Symposia 2017, Taipei IoT Devices - Everything Connects New classes of connectivity for a new

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

Hardware- Software Co-design at Arm GPUs

Hardware- Software Co-design at Arm GPUs Hardware- Software Co-design at Arm GPUs Johan Grönqvist MCC 2017 - Uppsala About Arm Arm Mali GPUs: The World s #1 Shipping Graphics Processor 151 Total Mali licenses 21 Mali video and display licenses

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Bringing Intelligence to Enterprise Storage Drives

Bringing Intelligence to Enterprise Storage Drives Bringing Intelligence to Enterprise Storage Drives Neil Werdmuller Director Storage Solutions Arm Santa Clara, CA 1 Who am I? 28 years experience in embedded Lead the storage solutions team Work closely

More information

Unleash the DSP performance of Arm Cortex processors

Unleash the DSP performance of Arm Cortex processors Unleash the DSP performance of Arm Cortex processors Arm Tech Symposia 2017 Lionel Belnet Senior Product Manager Agenda Unleash the DSP performance of Cortex processors 1 Introducing Arm Cortex technology

More information

Using Virtual Platforms To Improve Software Verification and Validation Efficiency

Using Virtual Platforms To Improve Software Verification and Validation Efficiency Using Virtual Platforms To Improve Software Verification and Validation Efficiency Odin Shen Staff FAE Arm Arm Tech Symposia Taiwan 2017 Software complexity and best practices Software Costs Increasing

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

DPDK on Arm64 Status Review & Plan

DPDK on Arm64 Status Review & Plan DPDK on Arm64 Status Review & Plan Song.zhu@arm.com Yi.He@arm.com Herbert.Guan@arm.com 19/03/2018 2018 Arm Limited DPDK Overview Data Plane Development Kit A set of libraries and drivers for fast packet

More information

Connect your IoT device: Bluetooth 5, , NB-IoT

Connect your IoT device: Bluetooth 5, , NB-IoT Connect your IoT device: Bluetooth 5, 802.15.4, NB-IoT Prithi Ramakrishnan Arm TechTalk 2017 IoT connectivity technologies Multiple standards, different applications Throughput Unlicensed >100Mbps Wi-Fi

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

Beyond TrustZone Part 1 - PSA

Beyond TrustZone Part 1 - PSA Beyond TrustZone Part 1 - PSA Rob Coombs Security Director, Arm Arm Tech Symposia 2017, Hsinchu Agenda Platform Security Architecture Architecture overview Trusted Firmware-M IoT Threat models & security

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Compute solutions for mass deployment of autonomy

Compute solutions for mass deployment of autonomy Compute solutions for mass deployment of autonomy Rod Watt Director of Vehicle Architecture and System Analysis Introduction 2 From inception to now 1990 Joint venture between Acorn Computers and Apple.

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop

Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop Part2 Security Enclaves Tech Seminars 2017 Agenda New security technology for IoT Security Enclaves CryptoIsland

More information

Beyond TrustZone PSA Reed Hinkel Senior Manager Embedded Security Market Development

Beyond TrustZone PSA Reed Hinkel Senior Manager Embedded Security Market Development Beyond TrustZone PSA Reed Hinkel Senior Manager Embedded Security Market Development Part1 - PSA Tech Seminars 2017 Agenda Platform Security Architecture Architecture overview Trusted Firmware-M IoT Threat

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Artificial Intelligence Fifth wave Data-driven computing era IoT Generating data 5G 5G Transporting

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

A Power-Centric Timing Optimization Flow for a Quad-Core ARM Cortex-A7 Processor

A Power-Centric Timing Optimization Flow for a Quad-Core ARM Cortex-A7 Processor A Power-Centric Timing Optimization Flow for a Quad-Core ARM Cortex-A7 Processor Bernard Ortiz de Montellano Product Manager Processor Division Dale Lomelino Staff Applications Consultant March 26, 2013

More information

Implementing debug. and trace access. through functional I/O. Alvin Yang Staff FAE. Arm Tech Symposia Arm Limited

Implementing debug. and trace access. through functional I/O. Alvin Yang Staff FAE. Arm Tech Symposia Arm Limited Implementing debug and trace access through functional I/O Alvin Yang Staff FAE Arm Tech Symposia 2017 Agenda Debug and trace access limitations A new approach Protocol based Bare metal vs mission mode

More information

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee 2017 Arm Limited How to design an IoT SoC and get Arm CPU IP for no upfront license fee An enhanced Arm DesignStart Building on a strong foundation Successfully used by 1000s of designers, researchers

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

A New Security Platform for High Performance Client SoCs

A New Security Platform for High Performance Client SoCs A New Security Platform for High Performance Client SoCs Udi Maor, Sr. Product manager, Client Line of Business October 2018 udi.maor@arm.com Agenda What are Client devices? Arm s approach to Trusted Execution

More information

Optimize HPC - Application Efficiency on Many Core Systems

Optimize HPC - Application Efficiency on Many Core Systems Meet the experts Optimize HPC - Application Efficiency on Many Core Systems 2018 Arm Limited Florent Lebeau 27 March 2018 2 2018 Arm Limited Speedup Multithreading and scalability I wrote my program to

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Accelerate Ceph By SPDK on AArch64

Accelerate Ceph By SPDK on AArch64 Accelerate Ceph By on AArch64 Jun He, jun.he@arm.com 2018 Arm Limited Tone Zhang, tone.zhang@arm.com 2018/3/9 2018 Arm Limited What s? Storage Performance Development Kit A set of tools and libraries to

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

New Approaches to Connected Device Security

New Approaches to Connected Device Security New Approaches to Connected Device Security Erik Jacobson Architecture Marketing Director Arm Arm Techcon 2017 - If you connect it to the Internet, someone will try to hack it. - If what you put on the

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

EE194-EE290C. 28 nm SoC for IoT

EE194-EE290C. 28 nm SoC for IoT EE194-EE290C 28 nm SoC for IoT CMOS VLSI Design by Neil H. Weste and David Money Harris Synopsys IC Compiler ImplementaJon User Guide Synopsys Timing Constraints and OpJmizaJon User Guide Tips This is

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Software Ecosystem for Arm-based HPC

Software Ecosystem for Arm-based HPC Software Ecosystem for Arm-based HPC CUG 2018 - Stockholm Florent.Lebeau@arm.com Ecosystem for HPC List of components needed: Linux OS availability Compilers Libraries Job schedulers Debuggers Profilers

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

Developing the Bifrost GPU architecture for mainstream graphics

Developing the Bifrost GPU architecture for mainstream graphics Developing the Bifrost GPU architecture for mainstream graphics Anand Patel Senior Product Manager, Media Processing Group ARM Tech Symposia India December 7 th 2016 Graphics processing drivers Virtual

More information

Deep Learning on Arm Cortex-M Microcontrollers. Rod Crawford Director Software Technologies, Arm

Deep Learning on Arm Cortex-M Microcontrollers. Rod Crawford Director Software Technologies, Arm Deep Learning on Arm Cortex-M Microcontrollers Rod Crawford Director Software Technologies, Arm What is Machine Learning (ML)? Artificial Intelligence Machine Learning Deep Learning Neural Networks Additional

More information